首页  资讯  商机   下载  拆解   高校  招聘   杂志  会展  EETV  百科   问答  电路图  工程师手册   Datasheet  100例   活动中心  E周刊阅读   样片申请
EEPW首页 >> 主题列表 >> 高速数据传输

高速数据传输 文章 进入高速数据传输技术社区

高速数据传输方兴未艾 NVMe打造现代化储存新体验

  • 在今天竞争激烈的市场中,大数据不足以维持竞争优势,产品速度的提升已经成为关键。为了实现更快的速度,从服务器机房的基础架构开始,NVMe储存系统扮演着重要角色。NVMe通讯协议特色 透过NVMe传送协议,可以从快闪记忆储存装置(如SSD)中快速存取数据,而非从计算机的高速PCIe总线中存取。NVMe,全称为Non-Volatile Memory Host Controller Interface Specification,是一种专门为支持使用PCIe(PCI Express)总线的闪存所设计的通讯协议。其
  • 关键字: 高速数据传输  NVMe  

5G通信信号处理系统的设计与实现

  • 5G试点工作进展顺利,预计2020年正式商用,峰值速率将达到每秒10 Gb,针对5G新技术,数据传输技术已成为制约系统方案实现的关键因素,提出的高速数据传输技术,采用高性能FPGA、高位宽、高采样率的AD和DA,进行相关接口优化,验证了5Gbps光纤数据传输,为后续5G通信提供很好的参考测试、验证。
  • 关键字: 5G  高速数据传输  光纤传输  201803  

利用FPGA实现外设通信接口之: 典型实例-USB 2.0接口的设计与实现

  • 本节旨在设计实现了FPGA通过FX2 USB 2.0接口芯片与PC机进行高速数据通信,分为读数据、写数据和读写数据3部分内容。帮助读者进一步了解USB接口芯片的工作原理和设计方法。
  • 关键字: USB2.0  FX2  FPGA  高速数据传输  

基于FPGA的LVDS模块在DAC系统中的应用

  • 介绍了LVDS技术的原理,对LVDS接口在高速数据传输系统中的应用做了简要的分析,着重介绍了基于FPGA的LVDS_TX模块的应用,并通过其在DAC系统中的应用实验进一步说明了LVDS接口的优点。
  • 关键字: LVDS接口  高速数据传输  FPGA  

基于SOPC的PCI总线高速数据传输系统设计

  • 摘要:本文针对一体化侦察通信接收机高速数据传输的需求,提出了一种基于SOPC实现PCI总线高速传输系统的设计方案。该方案将PCI桥与用户逻辑集成到一片FPGA上,并利用片上CPU实现了DMA控制器的自动配置和总线异常处理
  • 关键字: SOPC  PCI  总线  高速数据传输    

RocketIO及其在高速数据传输中的应用

  • 摘要:在高速电路系统设计中,差分串行通信方式正在取代并行总线方式 ,以满足系统对高带宽数据通信的需求。RocketIO是Virtex2 Pro以上系列中集成的专用高速串行数据收发模块,可用于实现吉比特的数据传输,适用于多
  • 关键字: RocketIO  高速数据传输  中的应用    

基于FPGA和VHDL的USB2.0控制器设计

  • 在视频存储和图像宽带领域中,经常遇到实时高速数据传输的要求。2000年4月,由Intel、Microsoft、NEC、Comp...
  • 关键字: FPGA  USB2.0控制器  高速数据传输  

基于FPGA和USB的高速数据传输、记录及显示系统

  • 提出了一种基于FPGA和USB的高速数据传输、记录及显示系统的设计方案,并对其中的低电压差分信号(LVDS)传输方式、FPGA功能模块以及USB传输模块等进行了介绍。
  • 关键字: FPGA  USB  高速数据传输  记录    
共8条 1/1 1

高速数据传输介绍

您好,目前还没有人创建词条高速数据传输!
欢迎您创建该词条,阐述对高速数据传输的理解,并与今后在此搜索高速数据传输的朋友们分享。    创建词条

热门主题

树莓派    linux   
关于我们 - 广告服务 - 企业会员服务 - 网站地图 - 联系我们 - 征稿 - 友情链接 - 手机EEPW
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司
备案 京ICP备12027778号-2 北京市公安局备案:1101082052    京公网安备11010802012473