新闻中心

EEPW首页 > 电源与新能源 > 设计应用 > FPGA差分信号缓冲的转换(IBUFDS、IBUFGDS和OBUFDS)

FPGA差分信号缓冲的转换(IBUFDS、IBUFGDS和OBUFDS)

作者:时间:2018-07-24来源:网络收藏

IBUFDS、IBUFGDS和OBUFDS都是差分信号缓冲器,用于不同电平接口之间的缓冲和转换。

本文引用地址:http://www.eepw.com.cn/article/201807/383581.htm

IBUFDS

是差分输入的时候用;

OBUFDS

是差分输出的时候用;

IBUFGDS 则是时钟信号专用的输入缓冲器。

下面详细说明:

IBUFDS(Differential

Signaling Input Buffer with Selectable I/O Interface)

//差分输入时钟缓冲器

IBUFDS是一个输入缓冲器,支持低压差分信号(如LVCMOS、LVDS等)。在IBUFDS中,一个电平接口用两个独特的电平接口(I和IB)表示。一个可以认为是主信号,另一个可以认为是从信号。主信号和从信号是同一个逻辑信号,但是相位相反。

inputinputoutput
IIBO
00No change
010
101
11No change

IBUFDS instance_name (.O (user_O), .I (user_I), .IB (user_IB));

OBUFDS(Differential

Signaling Output Buffer with Selectable I/O Interface)

//差分输出时钟缓冲器

OBUFDS是一个输出缓冲器,支持低压差分信号。OBUFDS隔离出了内电路并向芯片上的信号提供。它的输出用O和OB两个独立接口表示。一个可以认为是主信号,另一个可以认为是从信号。主信号和从信号是同一个逻辑信号,但是,相位相反。

inputinputoutput
IIBO
00No change
010
101
11No change

OBUFDS instance_name (.O (user_O), .I (user_I), .IB (user_IB));

IBUFGDS(Dedicated

Differential Signaling Input Buffer with Selectable I/O Interface)

//专用差分输入时钟缓冲器

IBUFGDS是一个连接时钟信号BUFG或DCM的专用的差分信号输入缓冲器。在IBUFGDS中,一个电平接口用两个独立的电平接口(I和IB)表示。一个可以认为是主信号,另一个可以认为是从信号。主信号和从信号是同一个逻辑信号,但是相位相反。

inputinputoutput
IIBO
00No change
010
101
11No change

IBUFGDS instance_name (.O (user_O), .I (user_I), .IB (user_IB));



关键词: 驱动电流

评论


技术专区

关闭