新闻中心

EEPW首页 > 电源与新能源 > 设计应用 > 序列信号发生器的设计方法及应用实例

序列信号发生器的设计方法及应用实例

作者:时间:2013-04-17来源:网络收藏

摘要:阐述了使用设计的各种方法,对每种设计方法进行了详细分析并给出了设计实例。运用构成的设计了一个实用的,可实现彩灯有规律的亮灭。运用proteus软件对电路进行了仿真,并分析了输出结果。
关键词:

0 引言
序列信号是一组特定的串行数字信号,在通信、雷达、诊断、检测等数字技术中有着广泛的应用。能够循环地产生序列信号的电路称为序列信号发生器。序列信号有多少位,就称序列信号长度为多少。例如:序列00011,序列长度为5。
在许多情况下,要求按照给定的序列信号来设计序列信号发生器。序列信号发生器一般有两种结构形式:一种是反馈移位型,另一种是计数型。相应的设计方法一般有两种:一种是由寄存器和反馈电路组成,另一种是由组成。序列信号发生器在数字电路中有着较广泛的应用。在电子电路中,使用序列信号发生器可以构成,使彩灯有规律地亮灭。

1 用和反馈组合电路构成序列信号发生器
根据要产生的序列得到串行输入表达式,再根据此表达式得到串行输入的电路,这是一个组合电路,可以用逻辑门电路实现,也可以用MSI芯片实现。因此设计方案又分为两种:一种是用移位寄存器和必要的门电路实现;另一种是用移位寄存器和MSI芯片(如数据选择器、译码器)实现。
设计步骤如下:
第一步:根据序列信号的长度L确定移位寄存器的位数N,要求N满足条件2N-1≤L≤2N。
第二步:确定移位寄存器的L个独立状态。根据数据左移,画出状态转换图,检查图中的L个状态是否两两不同,如果是,则N可用;如果存在两个状态相同,则移位寄存器位数需要加1,得N+1。重新画状态转换图,再次检查状态图中的L个状态是否两两不同,如果是,则N+1可用;否则,移位寄存器位数再加1得N+2。重复上述过程,直到状态图中的L个状态两两不同为止,这时状态图中的L个状态就是移位寄存器的L个独立状态,这时的移位寄存器的位数才是最后的值。
第三步:根据状态转换图及每一个状态所需要的移位输入信号,列出反馈函数表,画出反馈函数的卡诺图,求出反馈函数的表达式。对于未用状态,做无关项处理。如果有无关项,还要求检查电路的自启动能力。
第四步:根据反馈函数的表达式,使用门电路,或者译码器,或者数据选择器实现反馈函数的组合电路。
例如,产生一个5位的序列信号01101。
设计过程如下:第一步,L=5,得N=3。第二步,取N=3,根据数据左移,可得到5个状态:011、110、101、010、101,在这5个状态中,存在两个重复状态,移位寄存器的位数需要加1,N=4,按照上述方法重新得到5个状态:0110、1101、1010、0101、1011,这5个状态各不相同,为独立状态,因此选择N=4,用移位寄存器74LS194即可。第三步,列出反馈函数表,如表1。Q0的输出即为序列信号0101。根据反馈函数表,得反馈函数D的卡诺图。化简时若将0000所对应的小方块中的d画在圈外,也就是化简时把它取作0,这意味着0000状态时左移输入信号D为0,因此当时钟信号到来时它的状态依然为0000,这样构成了无效循环,电路是不能自启动的。为使0000不再构成无效循环,应将0000对应小方框中的d画入圈中。卡诺图的化简方法应如图1,得。接下来,还应该检查电路的自启动能力。当电路中出现无效状态时,根据左移输入信号,判断若干个时钟脉冲作用后电路能否进入有效循环,经判断,电路可以自启动。

本文引用地址:http://www.eepw.com.cn/article/175848.htm

, D0=D2=D4=D6=1,在Y端顺次输出序列信号01101;如果用译码器74LS154实现,电路如图4。Q0Q1Q2Q3依次接译码器的A0A1A2A3,则b.JPG

c.JPG

负离子发生器相关文章:负离子发生器原理
尘埃粒子计数器相关文章:尘埃粒子计数器原理

上一页 1 2 下一页

评论


相关推荐

技术专区

关闭