首页  资讯  商机   下载  拆解   高校  招聘   杂志  会展  EETV  百科   问答  电路图  工程师手册   Datasheet  100例   活动中心  E周刊阅读   样片申请
EEPW首页 >> 主题列表 >> cpld-pci接口

cpld-pci接口 文章 进入cpld-pci接口技术社区

基于双DSP的磁轴承数字控制器容错设计

  • 摘    要:本文介绍了应用于磁轴承的双DSP热备容错控制方案,该方案采用时钟同步技术,由总线表决模块实现系统的容错处理,硬件判决模块实现硬件故障判断。由中心仲裁模块根据两判决模块的结果进行复杂的仲裁,并完成切换和完善的报警逻辑,从而提高了磁轴承控制系统的可靠性。关键词:容错;磁轴承; 控制器; CPLD; DSP引言电磁轴承(AMB)是利用可控电磁吸力将转子悬浮起来的一种新型高性能轴承,具有无接触、无摩擦、高速度、高精度、不需润滑和密封等一系列特点,在交通、超高速超精密加工
  • 关键字: CPLD  DSP  磁轴承  控制器  容错  

基于PCI总线的实时DVB码流接收系统的硬件设计

  • 摘    要:本文介绍了基于PCI专用芯片PCI9054和CPLD的DVB码流接收系统的硬件设计。该设计采用了PCI9054+CPLD的数字处理方案,并采用一种新的方法更高效地利用双端口RAM,保证了高速、大容量数据流的实时处理。关键词:DVB;PCI;CPLD;双端口RAM;WDM模式  前言通过PC接收DVB(数字视频广播)码流已成为一项新的多媒体数据接收技术。因此,设计基于PC平台的DVB码流接收卡,是数字广播电视发展的需要。由于DVB传输流的平均传输速率为6
  • 关键字: CPLD  DVB  PCI  WDM模式  双端口RAM  存储器  

用CPLD实现Gollmann密钥流发生器

  • 摘    要:本文根据Gollmann密钥流发生器的原理和伪随机序列产生的程序,利用VHDL语言和CPLD,设计出Gollmann密钥流发生器。该发生器满足一般的加密要求,可以保护信息传输的安全。关键词:Gollmann ;VHDL ;CPLD;伪随机序列引言对通信数据进行加密的方法可分为两大类:软加密和硬加密。其中硬加密具有加密强度大、可靠性高等特点。本文根据流密码发生器原理,用CPLD设计出了Gollmann流密码发生器。原理密码安全的伪随机序列发生器用于流密码时十分理想
  • 关键字: CPLD  Gollmann  VHDL  伪随机序列  

CPLD器件的在系统动态配置

  • 介绍一种利用微控制器动态配置CPLD器件的方法。将配置文件存放在存储器中,配置文件中的控制代码驱动在微处理器中运行的配置引擎;将配置文件中的配置信息通过JTAG口移入CPLD,实现器件的动态配置
  • 关键字: CPLD  器件  动态配置  系统    

低压CPLD EPM7512A的混合电压系统设计

  • 较详细地阐述不同逻辑电平的接口原理。以低压CPLD EPM7512A为例,给出在混合电压系统中的具体设计方案。
  • 关键字: 7512A  CPLD  7512  EPM    

基于CPLD的三相多波形函数发生器设计

  • 介绍了基于可编程逻辑器件CPLD和直接数字频率合成技术(DDS)的三相多波形函数发生器的基本原理,并在此基础上给出了基于CPLD的各模块设计方法及其VHDL源程序。
  • 关键字: CPLD  三相  多波形  函数发生器    

CPLD在远程多路数据采集系统中的应用

  • 采用VHDL语言和图形输入设计方法,给出了用CPLD在远程多路数据采集系统中实现地址译码、串口扩展、模块测试、模数转换以及高位数据处理等功能的具体方法,同时简要介绍了远程多路数据采集系统的工作原理及软、硬件框架。
  • 关键字: CPLD  远程  多路数据采集  系统    

基于CPLD/FPGA的半整数分频器的设计

  • 简要介绍了CPLD/FPGA器件的特点和应用范围,并以分频比为2.5的半整数分频器的设计为例,介绍了在MAX+plus II开发软件下,利用VHDL硬件描述语言以及原理图的输入方式来设计数字逻辑电路的过程和方法。
  • 关键字: CPLD  FPGA  整数  分频器    

零功耗超快速CPLD器件ispMACH4000Z及其应用

  • 介绍了莱迪思半导体公司推出的零功耗超快速复杂中编程逻辑器件ispMACH4000Z的特征、结构和原理。
  • 关键字: ispMACH  4000Z  CPLD  4000    

用CPLD实现单片机读写模块

  • 介绍实现单片机与Xilinx公司XC9500系列可编程逻辑器件的读写逻辑功能模块的接口设计,以及Xilinx公司的XC9500系列可编程逻辑器件的开发流程。
  • 关键字: CPLD  单片机  读写模块    

用CPLD控制曼彻斯特编解码器

CPLD器件在时间统一系统中的应用

  • 本文介绍一种利用CPLD器件实现的可编程的性能良好的IRIG-B码源。
  • 关键字: CPLD  器件  系统  中的应用    

CPLD在多路高速同步数据采集系统中的应用

  • 采用VHDL语言设计,用CPLD控制模/数转换电路,完成多路模拟输入的高速同步数/模转,具有容错和自检能力。
  • 关键字: CPLD  多路  同步数据采集  系统    

I2C器件接口IP核的CPLD设计

  • 根据单片机I2C串行扩展的特点,在EDA软件MaxplusII的环境下,利用AHDL语言,建立IP核。
  • 关键字: CPLD  I2C  器件  接口    

基于CPLD的高压电力线FSK MODEM设计

  • 介绍应用CPLD实现非标准的FSK MODEM的设计方法;探讨如何优化算法和改良电路来减少系统的误码率,并给出应用电路。
  • 关键字: MODEM  设计  FSK  力线  CPLD  高压电  基于  
共788条 52/53 |‹ « 44 45 46 47 48 49 50 51 52 53 »
关于我们 - 广告服务 - 企业会员服务 - 网站地图 - 联系我们 - 征稿 - 友情链接 - 手机EEPW
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司
备案 京ICP备12027778号-2 北京市公安局备案:1101082052    京公网安备11010802012473