新闻中心

EEPW首页 > 嵌入式系统 > 设计应用 > FPGA实战开发技巧(3)

FPGA实战开发技巧(3)

作者:时间:2017-10-13来源:网络收藏

5.3.1 综合工具XST的使用

所谓综合,就是将HDL语言、原理图等设计输入翻译成由与、或、非门和RAM、触发器等基本逻辑单元的逻辑连接( 网表),并根据目标和要求( 约束条件) 优化所生成的逻辑连接,生成EDF 文件。XST 内嵌在ISE 3 以后的版本中,并且在不断完善。此外,由于XST 是公司自己的综合工具,对于部分芯片独有的结构具有更好的融合性。

本文引用地址:http://www.eepw.com.cn/article/201710/365619.htm

完成了输入、仿真以及管脚分配后就可以进行综合和实现了。在过程管理区双击Synthesize-XST,如图5-1所示,就可以完成综合,并且能够给出初步的资源消耗情况。图5-2 给出了模块所占用的资源。

图5-1 设计综合窗口

图5-2 综合结果报告

综合可能有3 种结果:如果综合后完全正确,则在Synthesize-XST 前面有一个打钩的绿色小圈圈;如果有警告,则出现一个带感叹号的黄色小圆圈;如果有错误,则出现一个带叉的红色小圈圈。综合完成之后,可以通过双击View RTL SchemaTIcs 来查看RTL 级结构图,察看综合结构是否按照设计意图来实现电路。ISE 会自动调用原理图编辑器ECS 来浏览RTL 结构。对于一个计数器,其RTL 结构图如图5-3 所示,综合结果符合设计者的意图,调用了加法器和寄存器来完成逻辑。

图5-3 经过综合后的RTL级结构图

2.综合参数的设置

一般在使用XST 时,所有的属性都采用默认值。其实XST 对不同的逻辑设计可提供丰富、灵活的属性配置。下面对ISE9.1 中内嵌的XST 属性进行说明。打开ISE 中的设计工程,在过程管理区选中“Synthesis –XST”并单击右键,弹出界面如图5-4 所示。

图5-4 综合选项

由图5-4 可以看出,XST 配置页面分为综合选项(Synthesis OpTIons)、HDL 语言选项(HDL OpTIons) 以及特殊选项(Xilinx Specific OpTIons) 等三大类,分别用于设置综合的全局目标和整体策略、HDL 硬件语法规则以及赛灵思特有的结构属性。

1) 综合选项参数

综合参数配置界面如图5-4 所示,包括8 个选项,具体如下所列:

【Optimization Goal】:优化的目标。该参数决定了综合工具对设计进行优化时,是以面积还是以速度作为优先原则。面积优先原则可以节省器件内部的逻辑资源,即尽可能地采用串行逻辑结构,但这是以牺牲速度为代价的。而速度优先原则保证了器件的整体工作速度,即尽可能地采用并行逻辑结构,但这样将会浪费器件内部大量的逻辑资源,因此,它是以牺牲逻辑资源为代价的。

【Optimization Effort】:优化器努力程度。这里有【normal】和【high】两种选择方式。对于【normal】,优化器对逻辑设计仅仅进行普通的优化处理,其结果可能并不是最好的,但是综合和优化流程执行地较快。如果选择【high】,优化器对逻辑设计进行反复的优化处理和分析,并能生成最理想的综合和优化结果,在对高性能和最终的设计通常采用这种模式;当然在综合和优化时,需要的时间较长。

【Use Synthesis Constraints File】:使用综合约束文件。如果选择了该选项,那么综合约束文件XCF 有效。

【Synthesis Constraints File】:综合约束文件。该选项用于指定XST 综合约束文件XCF 的路径。

【Global Optimization Goal】:全局优化目标。可以选择的属性包括有【AllClockNets】、【Inpad To
Outpad】、【Offest In Before】、【Offest Out After】、【Maximm Delay】。该参数仅对 器件有效,可用于选择所设定的寄存器之间、输入引脚到寄存器之间、寄存器到输出引脚之间,或者是输入引脚到输出引脚之间逻
辑的优化策略。

【Generate RTL Schematic】:生成寄存器传输级视图文件。该参数用于将综合结果生成RTL 视图。

【Write Timing Constraints】:写时序约束。该参数仅对 有效,用来设置是否将HDL 源代码中用于控制综合的时序约束传给NGC 网表文件,该文件用于布局和布线。

【Verilog 2001】:选择是否支持Verilog 2001 版本。

HDL语言选项

HDL 语言选项的配置界面如图5-5 所示,包括16 个选项,具体如下所列:

图5-5 HDL语言选项的配置界面选项

【FSM Encoding Algorithm】:有限状态机编码算法。该参数用于指定有限状态机的编码方式。选项有【Auto】、
【One-Hot】、【Compact】、【Sequential】、【Gray】、【Johnson】、【User】、【Speed1】、【None】编码方式,默认为【Auto】编码方式。

【Safe Implementation】:将添加安全模式约束来实现有限状态机,将添加额外的逻辑将状态机从无效状态
调转到有效状态,否则只能复位来实现,有【Yes】、【No】两种选择,默认为【No】。

【Case Implementation Sytle】:条件语句实现类型。该参数用于控制XST 综合工具解释和推论Verilog 的
条件语句。其中选项有【None】、【Full】、【Parallel】、【Full-Parallel】,默认为【None】。 对于这四种选项,区别如下:(1)【None】,XST 将保留程序中条件语句的原型,不进行任何处理;(2)【Full】,XST 认为条件语句是完整的,避免锁存器的产生;(3)【Parallel】,XST 认为在条件语句中不能产生分支,并且不使用优先级编码器;(4)【Full-Parallel】,XST 认为条件语句是完整的,并且在内部没有分支,不使用锁存器和优先级编码器。

【RAM Extraction】:存储器扩展。该参数仅对 有效,用于使能和禁止RAM 宏接口。默认为允许使用RAM 宏接口。

【RAM Style】:RAM 实现类型。该参数仅对FPGA 有效,用于选择是采用块RAM 还是分布式RAM 来作为RAM 的实现类型。默认为【Auto】。

【ROM Extraction】:只读存储器扩展。该参数仅对FPGA 有效,用于使能和禁止只读存储器ROM 宏接口。默认为允许使用ROM 宏接口。

【ROM Style】:ROM 实现类型。该参数仅对FPGA 有效,用于选择是采用块RAM 还是分布式RAM 来作为ROM 的实现和推论类型。默认为【Auto】。

【Mux Extraction】:多路复用器扩展。该参数用于使能和禁止多路复用器的宏接口。根据某些内定的算法,对于每个已识别的多路复用/ 选择器,XST 能够创建一个宏,并进行逻辑的优化。可以选择【Yes】、【No】和【Force】
中的任何一种,默认为【Yes】。

【Mux Style】:多路复用实现类型。该参数用于胃宏生成器选择实现和推论多路复用/ 选择器的宏类型。可以选择【Auto】、【MUXF】和【MUXCY】中的任何一种,默认为【Auto】。

【Decoder Extraction】:译码器扩展。该参数用于使能和禁止译码器宏接口,默认为允许使用该接口。

【Priority Encoder Extraction】:优先级译码器扩展。该参数用于指定是否使用带有优先级的编码器宏单元。

【Shift Register Extraction】:移位寄存器扩展。该参数仅对FPGA 有效,用于指定是否使用移位寄存器宏单元。默认为使能。

【Logical Shifter Extraction】:逻辑移位寄存器扩展。该参数仅对FPGA 有效,用于指定是否使用逻辑移位寄存器宏单元。默认为使能。

【XOR Collapsing】:异或逻辑合并方式。该参数仅对FPGA 有效,用于指定是否将级联的异或逻辑单元合并成一个大的异或宏逻辑结构。默认为使能。

【Resource Sharing】:资源共享。该参数用于指定在XST 综合时,是否允许复用一些运算处理模块,如加法器、减法器、加/ 减法器和乘法器。默认为使能。如果综合工具的选择是以速度为优先原则的,那么就不考虑资源共享。

【Multiplier Style】:乘法器实现类型。该参数仅对FPGA 有效,用于指定宏生成器使用乘法器宏单元的方式。选项有【Auto】、【Block】、【LUT】和【Pipe_LUT】。默认为【Auto】。选择的乘法器实现类型和所选择的器件有关。

2) 赛灵思特殊选项

赛灵思特殊选项用于将用户逻辑适配到赛灵思芯片的特殊结构中,不仅能节省资源,还能提高设计的工作频率,其配置界面如图5-6 所示,包括10 个配置选项,具体如下所列。

图5-6 赛灵思指定的选项

【Add I/O Buffers】:插入I/O 缓冲器。该参数用于控制对所综合的模块是否自动插入I/O 缓冲器。默认为自动插入。

【Max Fanout】:最大扇出数。该参数用于指定信号和网线的最大扇出数。这里扇出数的选择与设计的性能有直接的关系,需要用户合理选择。

【Register Duplication】:寄存器复制。该参数用于控制是否允许寄存器的复制。对于高扇出和时序不能满足要求的寄存器进行复制,可以减少缓冲器输出的数目以及逻辑级数,改变时序的某些特性,提高设计的工作频率。默认为允许寄存器复制。

【Equivalent Register Removal】:等效寄存器删除。该参数用于指定是否把寄存器传输级功能等效的寄存器删除,这样可以减少寄存器资源的使用。如果某个寄存器是用赛灵思的硬件原语指定的,那么就不会被删除。默认为使能。

【Register Balancing】:寄存器配平。该参数仅对FPGA 有效,用于指定是否允许平衡寄存器。可选项有【No】、【Yes】、【Forward】和【Backward】。采用寄存器配平技术,可以改善某些设计的时序条件。其中【Forward】
为前移寄存器配平,【Backward】为后移寄存器配平。采用寄存器配平后,所用到的寄存器数就会相应地增减。默认为寄存器不配平。

【Move First Flip-Flop Stage】:移动前级寄存器。该参数仅对FPGA 有效,用于控制在进行寄存器配平时,是否允许移动前级寄存器。如果【Register Balancing】的设置为【No】,那么该参数的设置无效。

【Move Last Flip-Flop Stage】:移动后级寄存器。该参数仅对FPGA 有效,用于控制在进行寄存器配平时,是否允许移动后级寄存器。如果【Register Balancing】的设置为【No】,那么该参数的设置无效。

【Pack I/O Registers into IOBs】:I/O 寄存器置于输入输出块。该参数仅对FPGA 有效,用于控制是否将逻辑设计中的寄存器用IOB 内部寄存器实现。在赛灵思系列FPGA 的IOB 中分别有输入和输出寄存器。如果将设计中的第一级寄存器或最后一级寄存器用IOB 内部寄存器实现,那么就可以缩短IO 引脚到寄存器之间的路径,这通常可以缩短大约1~2ns 的传输时延。默认为【Auto】。

【Slice Packing】:优化Slice 结构。该参数仅对FPGA 有效,用于控制是否将关键路径的查找表逻辑尽量配置在同一个Slice 或者CLB 模块中,由此来缩短LUT 之间的布线。这一功能对于提高设计的工作频率、改善时序特性是非常有用的。 默认为允许优化Slice 结构。

【Optimize Instantiated Primitives】:优化已例化的原语。该参数控制是否需要优化在HDL 代码中已例化的原语。默认为不优化。



关键词: FPGA 赛灵思

评论


相关推荐

技术专区

关闭