新闻中心

EEPW首页 > EDA/PCB > 设计应用 > 基于FPGA的8B/10B编解码设计

基于FPGA的8B/10B编解码设计

作者:时间:2010-08-06来源:网络收藏

摘要:为提高8B/的工作速度和简化逻辑方法,提出一种基于的8B/系统设计方案。与现有的8B/方案相比,该方案是一种利用实现8B/lOB编解码的模块方法,接收模块在收到外部发送的并行数据时,通过直接查找映射的方法转换成利于传输的串行信号。串行信号经串并行转换模块,将数据经10B/8B解码模块解码还原成原始数据。为了更好实现数据的传输,系统加入了极性偏差RD控制。结果表明,该8B/10B编解码系统设计方案传输数据稳定,满足设计要求。
关键词:串行数据传输;8B/lOB编解码;极性偏差(RD);VHDL

本文引用地址:http://www.eepw.com.cn/article/191634.htm

随着通信技术的发展,在复杂的电磁环境下正确传输数据受到人们的关注,因此性能优异的光纤通讯越来越受到青睐,而误码率要满足设计需求,关键在于串行传输数据所选用的编码方法。
8B/10B编解码技术是主流传输标准的编解码技术之一,广泛应用于高速串行标准中,例如光纤通道1、PCI-Express、串行ATA、1394b等。8B/10B编解码技术设定传输数据流拥有连续“l”或连续“0”不能超过5个,保证传输的直流成分接近0,基线漂移减至最小,避免因接收端时钟漂移或同步丢失而引起数据丢失。8B/10B编码方法具有DC补偿功能,能够保证链路中不随着时间推移而出现DC偏移。这使得信号的转换不会因电压位阶的关系造成信号错误。8B/10B编码采用冗余方式,将8位的数据和一些特殊字符按照特定的规则编码成10位的数据,根据这些规则,能检测出传输过程中发生错误的信息。通过以上各种措施,8B/10B编码方式能够确保数据在高速传输过程中正确传送和识别。
因此这里提出一种利用实现8B/lOB编解码系统设计方案。

1 系统设计总体设计
1.1 系统设计结构
该系统是基于FPGA设计的8B/10B编解码器,首先系统接收外部发送的8B并行数据,在8B/10B编码模块中完成编码后,再通过10B数据并串转换模块生成利于传输的10B串行信号。这样8B/10B编码模块和10B并串转换模块构成8B/lOB编码器。编码端发送的10B串行信号经过传输线路传输后被lOB数据串并行转换模块所接收,转换完成的10B并行数据再通过1OB/8B解码模块解码完成后即是还原后的原始数据。这样lOB串行数据到10B并行数据转换模块和1OB/8B解码模块就构成了1OB/8B解码器。图1为系统设计框图。


1.2 设计方案
8B/10B编解码器通常有两种设计方法:一种是用查找表直接将8位信号映射成lO位信号,该方法是采用存储器存储所有可能出现的码组,再将输入码组转换为存储地址,找出对应的编解码。这种方法逻辑简单,开发时间很短;另一种是通过逻辑运算直接实现编解码功能,其优点是明显减小内部使用面积,但逻辑关系复杂。从系统优化考虑把1节8 bit字节拆分成3 bit和5 bit,然后在极性偏差RD(running dis-
parity)控制器的控制下以并列方式编解码。这种方法的组合逻辑实现可以简化码表,减小电路板的面积,有效提高编码工作速度,同时由于电路板的面积减小,功耗显著降低。这里采用第1种方法,同时结合第2种方法的设计思路。

2 系统软件程序设计
2.1 8B/10B编码模块


上一页 1 2 3 下一页

关键词: FPGA 10B 编解码

评论


相关推荐

技术专区

关闭