首页  资讯  商机   下载  拆解   高校  招聘   杂志  会展  EETV  百科   问答  电路图  工程师手册   Datasheet  100例   活动中心  E周刊阅读   样片申请
EEPW首页 >> 主题列表 >> 二值图像连通域标记

二值图像连通域标记 文章 进入二值图像连通域标记技术社区

基于FPGA的二值图像连通域标记快速算法实现

  • 摘  要:针对高速图像目标实时识别和跟踪任务,需要利用系统中有限的硬件资源实现高速、准确的二值图像连通域标记,提出了一种适合FPGA实现的二值图像连通域标记快速算法。算法以快捷、有效的方式识别、并记录区域间复杂的连通关系。与传统的二值图像标记算法相比,该算法具有运算简单性、规则性和可扩展性的特点。利用FPGA实现该算法时,能够准确有效的识别出图像中复杂的连通关系,产生正确的标记结果。在100MHz工作时钟下,处理384
  • 关键字: FPGA  单片机  二值图像连通域标记  嵌入式系统  
共1条 1/1 1

二值图像连通域标记介绍

您好,目前还没有人创建词条二值图像连通域标记!
欢迎您创建该词条,阐述对二值图像连通域标记的理解,并与今后在此搜索二值图像连通域标记的朋友们分享。    创建词条

热门主题

树莓派    linux   
关于我们 - 广告服务 - 企业会员服务 - 网站地图 - 联系我们 - 征稿 - 友情链接 - 手机EEPW
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司
备案 京ICP备12027778号-2 北京市公安局备案:1101082052    京公网安备11010802012473