0 引 言 可编程逻辑器件PLD(Programmable Logic De-vice)是一种数字电路,它可以由用户来进行编程和进行配置,利用它可以解决不同的逻辑设计问题。PLD由基本逻辑门电路、触发器以及内部连接电路构成,利用软件和硬
关键字:
CPLD 数字 电路设计
电子产品世界,为电子工程师提供全面的电子产品信息和行业解决方案,是电子工程师的技术中心和交流中心,是电子产品的市场中心,EEPW 20年的品牌历史,是电子工程师的网络家园
关键字:
SPI DSP CPLD 端口通信
基于DSP和CPLD的光纤陀螺信号采集系统设计,摘要:随着光纤陀螺在空空导弹中的广泛应用,为了对其特性进行深入研究,设计了一种光纤陀螺信号采集系统。硬件结构采用了DSP+CPLD的方式,控制AD芯片完成多路光纤陀螺数据的采集。为了降低干扰对采集精度的影响,在
关键字:
采集 系统 设计 信号 陀螺 DSP CPLD 光纤 基于
采用CPLD与μC/OS -Ⅱ的断路器智能控制单元设计,本文介绍的智能控制单元采用数字信号处理器(DSP)及嵌入式实时操作系统完成各种数据的处理、通信和算法的设计,而状态量的采集和执行信号输出将由复杂可编程逻辑器(CPLD)完成,主要是基于CPLD内部硬件电路结构的可靠性
关键字:
单元 设计 智能控制 断路器 CPLD C/OS 采用
采用DSP与CPLD的三相五电平变频器PWM脉冲发生器,1 引言 近年来,多电平变换器成为电力电子研究的热点之一,它主要面向中压大功率的应用场合。目前,有三种基本的多电平变换器拓扑结构[1]:①二极管箝位型;②飞跨电容型;③级联型。 几种拓扑结构各有其优缺点,但相
关键字:
PWM 脉冲 发生器 变频器 电平 DSP CPLD 三相五 采用
例1:FPGA驱动LED静态显示 --文件名:decoder.vhd --功能:译码输出模块,LED为共阳接法 --最后修改日期:2004.3.24 library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL;
关键字:
FPGA VHDL LED 驱动
Linux下CPLD驱动程序,========================================================================== */ /* */ /* Filename.c
关键字:
驱动程序 CPLD Linux
应用VHDL语言设计数字系统,大部分设计工作可在计算机上完成,从而缩短系统开发时间,提高工作效率。下面介绍基于VHDL设计交通灯控制器的一种方案,并给出源程序和仿真结果。 1 系统功能与要求 交通灯控制器控
关键字:
设计 控制器 交通 VHDL 基于
基于DSP的CPLD软件更新方案研究, 0引言 在现代导航计算机系统朝着微型化发展的过程中,采用高性能数字信号处理器和可编程逻辑器件方案实现的导航计算机系统有着很高的性能优势。在本课题组研制的基于浮点型DSP和复杂可编程逻辑器件(CPLD)结构的嵌
关键字:
方案 研究 更新 软件 DSP CPLD 基于
基于VHDL语言对高速A/D器件TLC5510控制的实现,--TLC5510 VHDL控制程序 --文件名:TLC5510.vhd --功能:基于VHDL语言,实现对高速A/D器件TLC5510控制 --最后修改日期:2004.3.20 library ieee; use ieee.std_logic_1164.all; entity tlc5510 is
关键字:
TLC5510 控制 实现 器件 A/D VHDL 语言 高速 基于
基于FPGA的中文字符显示的VHDL程序,--文件名:lcd_driver.vhd。 --功能:FGAD驱动LCD显示中文字符“年”。 --最后修改日期:2004.3.24。 library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use
关键字:
VHDL 程序 显示 字符 FPGA 中文 基于
基于VHDL的基带信号的MFSK调制,--多进制数字频率调制(MFSK)系统VHDL程序 --文件名:MFSK --功能:基于VHDL硬件描述语言,完成对基带信号的MFSK调制 --说明:这里MFSK的M为4 --最后修改日期:2004.2.13 library ieee; use ieee.s
关键字:
MFSK 调制 信号 基带 VHDL 基于
用VHDL/VerilogHD语言开发PLD/FPGA的完整流程,用VHDL/VerilogHD语言开发PLD/FPGA的完整流程为: 1.文本编辑:用任何文本编辑器都可以进行,也可以用专用的HDL编辑环境。通常VHDL文件保存为.vhd文件,Verilog文件保存为.v文件 2.功能仿真:将文件调入HDL仿真
关键字:
完整 流程 PLD/FPGA 开发 语言 VHDL/VerilogHD
电子产品世界,为电子工程师提供全面的电子产品信息和行业解决方案,是电子工程师的技术中心和交流中心,是电子产品的市场中心,EEPW 20年的品牌历史,是电子工程师的网络家园
关键字:
DSP 译码 Bootloader CPLD
基于DSP和CPLD的金属磁记忆检测仪设计,摘要:设计了一种以DSP+CPLD为控制核心的高性能金属磁记忆检测仪,用以快速检测铁磁材料的漏磁信号,判断材料应力集中区域。文中简述了磁记忆检测仪的主要电路及其工作原理,重点介绍了系统的硬件和软件设计。该检测
关键字:
检测仪 设计 记忆 金属 DSP CPLD 基于
vhdl-cpld介绍
您好,目前还没有人创建词条vhdl-cpld!
欢迎您创建该词条,阐述对vhdl-cpld的理解,并与今后在此搜索vhdl-cpld的朋友们分享。
创建词条
关于我们 -
广告服务 -
企业会员服务 -
网站地图 -
联系我们 -
征稿 -
友情链接 -
手机EEPW
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司
京ICP备12027778号-2 北京市公安局备案:1101082052 京公网安备11010802012473