首页  资讯  商机   下载  拆解   高校  招聘   杂志  会展  EETV  百科   问答  电路图  工程师手册   Datasheet  100例   活动中心  E周刊阅读   样片申请
EEPW首页 >> 主题列表 >> vhdl-cpld

vhdl-cpld 文章 进入vhdl-cpld技术社区

VHDL设计中电路简化问题的探讨

  •   近年来,随着集成电路技术的发展,用传统的方法进行芯片或系统设计已不能满足要求,迫切需要提高设计效率。在这样的技术背景下,能大大降低设计难度的VHDL设计方法正越来越广泛地被采用。但是VHDL设计是行为级的设计?熕?带来的问题是设计者的设计思考与电路结构相脱节。设计者主要是根据VHDL的语法规则,行为进行描述,综合工具进行电路结构的综合、编译、优化,通过仿真工具进行逻辑功能仿真和系统时延的仿真。实际设计过程中,由于每个工程师对语言规则、对电路行为的理解程度不同,每个人的编程风格不同,往往同样的系统功能,
  • 关键字: VHDL  电路  集成电路  

基于Modelsim FLI接口的FPGA仿真技术

  •   1、Modelsim 及 FLI接口介绍   Modelsim是 Model Technology(Mentor Graphics的子公司)的 HDL 硬件描述语言仿真软件,可以实现 VHDL, Verilog,以及 VHDL-Verilog 混合设计的仿真。除此之外,Modelsim还能够与 C 语言一起实现对 HDL 设计文件的协同仿真。同时,相对于大多数的 HDL 仿真软件来说,Modelsim 在仿真速度上也有明显优势。这些特点使 Modelsim 越来越受到 EDA设计者、尤其是 FPGA
  • 关键字: FPGA  仿真  Modelsim  FLI  VHDL  

用单片机和CPLD实现步进电机的控制

  •   是一种将脉冲信号转换成角位移的伺服执行器件。其特点是结构简单、运行可靠、控制方便。尤其是步距值不受电压、温度的变化的影响、误差不会长期积累,这给实际的应用带来了很大的方便。它广泛用于消费类产品(打印机、照相机)、工业控制(数控机床、工业机器人)、医疗器械等机电产品中。   通常的步进电机控制方法是采用CPU(PC机、等)配合专用的步进电机驱动控制器来实现,这存在成本较高、各个环节搭配不便(不同类的电机必须要相应的驱动控制器与之配对)等问题。   器件具有速度快、功耗低、保密性好、程序设计灵活、抗干
  • 关键字: 脉冲信号  CPU  CPLD  电机  I/O  

SDRAM接口的VHDL设计

  •   RAM(随机存取存储器 是一种在电子系统中应用广泛的器件,通常用于数据和程序的缓存。随着半导体工业的发展,RAM获得了飞速的发展,从RAM、DRAM(Dynamic RAM,即动态RAM)发展到SDRAM(Synchronous Dynamic RAM,即同步动态RAM),RAM的容量越来越大、速度越来越高,可以说存储器的容量和速度已经成为半导体工业水平的标志。   1 任务背景   SDRAM具有大容量和高速的优点,目前其存取速度可以达到100~133MHz,单片容量可以达到64Mbit或更高
  • 关键字: VHDL  SDRAM  存储器  微处理器  

基于I2C总线的大型开关矩阵设计与实现

  •   1 引言   自动测试设备在军事及工业领域的应用越来越广泛,然而在电路单元尤其是电路板测试中,由于被测单元种类多,被测通道数量大,传统的开关矩阵体积大、切换速度慢、电气性能差。已不能满足现代测试仪器高速、便携的要求。本文介绍了一种采用USB接口,利用I2C总线传输数据,由CPLD控制多路复用器件的大型开关矩阵结构,具有较高的切换速度及较好的电气性能,并满足了小型化的要求。   2 系统结构及功能   开关矩阵主要实现自动测试设备与被测电路单元之间的信息交换,功能如下:   (1)将程控电源系统
  • 关键字: I2C  开关矩阵  CPLD  USB  自动测试  

低频数字相位(频率)测量的CPLD实现

  •   在电子测量技术中,测频测相是最基本的测量之一。相位测量仪是电子领域的常用仪器,当前测频测相主要是运用等精度测频、PLL锁相环测相的方法。研究发现,等精度测频法具有在整个测频范围内保持恒定的高精度的特点,但是该原理不能用于测量相位。PLL锁相环测相可以实现等精度测相,但电路调试较复杂。因此,选择直接测相法作为低频测相仪的测试方法[1、2、3、4]。   设计的低频测相仪,满足以下的技术指标:a .频率20-20KHz;b .输入阻抗≥100KΩ;c.相位测量绝对误差≤1度; d
  • 关键字: CPLD  电子测量  相位测量  单片机  EDA  

基于CPLD的MIDI音乐播放器的设计

  • 摘要:本音乐播放器依据MIDI音乐基本原理,结合EDA技术,采用ALTERA公司的可编程逻辑器件(CPLD)EPF10LC84-4作为控制核心而设计的。本文主要阐述了利用VHDL语言设计MIDI音乐发生器芯片,再配上必要的外围电路,从而实现四首音乐选择播放、并配有随音乐节奏而闪烁变化的彩灯等功能的EDA应用系统。 关键字:EDA、CPLD、音乐播放器、VHDL语言 0  引言 大规模可编程逻辑器件CPLD和FPGA是当今应用最广泛的两类可编程逻辑器件,电子设计工程师利用它可以在办公室或实
  • 关键字: EDA  CPLD  音乐播放器  VHDL语言  

基于DSP的彩色TFT-LCD数字图像显示技术研究

  •   随着计算机技术的飞速发展,嵌入式图像系统广泛应用于办公设备、制造和流程设计、医疗、监控、卫生设备、交通运输、通信、金融银行系统和各种信息家电中。所谓嵌入式图像系统,指以图像应用为中心,以计算机技术为基础,软件、硬件可裁减,对功能、可靠性、成本、体积、功耗等严格要求的专用计算机系统。嵌入式图像系统对图像显示技术提出了各种严格要求,必须选择合适的显示器,设计出合理的显示控制方法。   系统硬件设计   本系统要构建一个嵌入式、高速、低功耗、低成本的图像显示硬件平台,要求能真彩显示静态或动态彩色图像。为
  • 关键字: DSP  TFT-LCD  数字图像  显示技术  嵌入式  CPLD  

用双端口RAM实现与PCI总线接口的数据通讯

  •   采用双端口RAM实现DSP与PCI总线芯片之间的数据交换接口电路。   提出了一种使用CPLD解决双端口RAM地址译码和PCI接口芯片局部总线仲裁的的硬件设计方案,并给出了PCI总线接口芯片寄存器配置实例,介绍了软件包WinDriver开发设备驱动程序的具体过程。   随着计算机技术的不断发展,为满足外设间以及外设与主机间的高速数据传输,Intel公司于1991年提出了PCI总线概念。PCI总线是一种能为主CPU及外设提供高性能数据通讯的总线,其局部总线在33MHz总线时钟、32位数据通路时,数据
  • 关键字: RAM  DSP  PCI总线  CPLD  数据通讯  

基于SystemC的系统级芯片设计方法研究

  •   随着集成电路制造技术的迅速发展,SOC设计已经成为当今集成电路设计的发展方向。SO C设计的复杂性对集成电路设计的各个层次,特别是对系统级芯片设计层次,带来了新挑战,原有的HDL难以满足新的设计要求。   硬件设计领域有2种主要的设计语言:VHDL和Verilog HDL。而两种语言的标准不统一,导致软硬件设计工程师之间工作交流出现障碍,工作效率较低。因此,集成电路设计界一直在寻找一种能同时实现较高层次的软件和硬件描述的系统级设计语言。Synopsys公司与Coware公司针对各方对系统级设计语言的
  • 关键字: SOC  SystemC  集成电路  VHDL  Verilog HDL  

用CPLD实现基于PC104总线的429接口板

  •   PC104总线系统是一种新型的计算机测控平台,作为嵌入式PC的一种,在软件与硬件上与标准的台式PC(PC/AT)体系结构完全兼容,它具有如下优点:体积小、十分紧凑,并采用模块化结构,功耗低,总线易于扩充,紧固堆叠方式安装,适合于制作高密度、小体积、便携式测试设备,因此在军用航空设备上有着广泛的应用,但也正是PC104板的这种小尺寸结构、板上可用空间少给设计带来了一定的困难,所以本设计采用了复杂可编程器件CPLD,用CPLD完成了PC104总线与429总线通讯的主要电路,大大节省了硬件资源,本文着重介绍
  • 关键字: CPLD  接口板  PC104  总线  嵌入式  

FPGA竞争好像在演戏(上)

  •   若要问:半导体业哪个领域最有趣?我认为FPGA。作为记者,大家一提起FPGA公司就很兴奋,太充满活力了,有时过分得充满戏剧性。   当中国第一高楼——上海金茂大厦刚刚落成时,A公司在上海成立办事处,邀请记者从北京到上海观摩,下榻金茂。一周后,X公司也宣布已经成立上海办事处,也盛情邀请记者去那里看看,也同样入住金茂。             &nbs
  • 关键字: FPGA  半导体  ASIC  CPLD  

简易USB接口卡的设计和实现

  •   目前比较常用的方法是在PC机或工控机内安装ISA或PCI数据采集卡(如A/D卡及422,485卡).但这些数据采集卡存在安装麻烦,受计算机插槽数量、地址、中断资源的限制,可扩展性差等缺点特别是在一些电磁干扰较强的工业现场。随着USB总线的发展和应用以及USB接口芯片出现,现在USB接口卡应该是一个即实用又方便的选择了。这项设计实现的是一个动态采集和存储系统的计算机通信接口卡,基本上可分为CY7C68013 USB接口芯片、CPLD芯片扩展控制部分、软件的实现部分。   一、 整体结构图  
  • 关键字: USB  接口卡  CPLD  芯片  

SVPWM信号发生器的VHDL实现

  •   近年来,DSP在SVPWM(空间矢量脉宽调制)控制领域得到了广泛应用。   但是使用DSP单核心的控制方法仍然存在一些缺陷:基于软件的:DSP在实现SVPWM触发信号时需要较长的时钟周期;微处理器中不确定的中断响应会导致PWM脉冲的相位抖动。针对以上问题,本文提出了一种利用FPGA实现的SVPWM信号发生器,系统结构如图1所示。作为DSP的外围接口电路,该信号发生器能够屏蔽DSP内部错误中断对输入时间信号的影响,保证输出完整的SVPWM触发信号波形,其三相并行处理结构还能够有效提升系统的动态响应速度
  • 关键字: DSP  SVPWM  VHDL  信号发生器  

基于SPCE061A和CPLD的电动自行车充电系统研制

  •   电动车由于具有无废气污染、无噪音、轻便美观等特点,受到众多使用者的青睐。但使用中也暴露出它的局限性,那就是蓄电池的容量决定了它的使用范围,而且存在充电时间长的缺点。目前随着电动自行车的发展,急需解决的问题就是如何实现快速灵活的充电。   随着电子技术、可编程逻辑器件(FPGA,CPLD)、EDA技术的飞速发展,基于硬件编程语言的自上而下(TOP-TO-DOWN)设计方法给数字系统的开发设计带来了革命性变革,仅使用单片机来实现系统控制的传统方法正在被越来越多的以MCU+FPGA/CPLD为核心的最新设
  • 关键字: CPLD  SPCE061A  FPGA  EDA  充电  电动自行车  
共994条 58/67 |‹ « 56 57 58 59 60 61 62 63 64 65 » ›|
关于我们 - 广告服务 - 企业会员服务 - 网站地图 - 联系我们 - 征稿 - 友情链接 - 手机EEPW
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司
备案 京ICP备12027778号-2 北京市公安局备案:1101082052    京公网安备11010802012473