首页  资讯  商机   下载  拆解   高校  招聘   杂志  会展  EETV  百科   问答  电路图  工程师手册   Datasheet  100例   活动中心  E周刊阅读   样片申请
EEPW首页 >> 主题列表 >> l正交解码

l正交解码 文章 进入l正交解码技术社区

用FPGA做正交解码

  • FPGA非常适合用逻辑来实现正交解码的功能。什么是正交信号?正交信号是两个相位差为90度的信号。它们在机械系统中用于确定轴的运动(或旋转)。这是一个向前移动几步的轴。如果对脉冲计数,则可以说轴移动了3步。如果计算边缘,则可以说轴移动了12步。这就是我们在此页面上所做的。现在,轴向后移动了相同的量。因此,想法是通过查看边缘和水平,我们可以确定运动的方向和距离。这是一个示例,其中轴向前移动10步,然后向后移动7步。它们在哪里使用?在机械手轴中,用于反馈控制。用旋钮确定用户输入。在电脑鼠标中,确定运动方向。如果
  • 关键字: FPGA  L正交解码  
共1条 1/1 1

l正交解码介绍

您好,目前还没有人创建词条l正交解码!
欢迎您创建该词条,阐述对l正交解码的理解,并与今后在此搜索l正交解码的朋友们分享。    创建词条

热门主题

树莓派    linux   
关于我们 - 广告服务 - 企业会员服务 - 网站地图 - 联系我们 - 征稿 - 友情链接 - 手机EEPW
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司
备案 京ICP备12027778号-2 北京市公安局备案:1101082052    京公网安备11010802012473