首页  资讯  商机   下载  拆解   高校  招聘   杂志  会展  EETV  百科   问答  电路图  工程师手册   Datasheet  100例   活动中心  E周刊阅读   样片申请
EEPW首页 >> 主题列表 >> 十进制

十进制 文章 进入十进制技术社区

七段一二~十进制码变换器

消除了开关反跳干扰的十进制一二~十进制变换器

十进制余三码减法计数器(异步预置数)

  • 连线:电源VCC、地GND;使能端有效、M=1作减法计数;连接输出端控制预置数控制端(LD)电路如图7-3所示。十进制余三码减法计数器(异步预置数)由此可见,基本方法中,无论加法还是减法计数器的实现方法都一样。只要注意:在设...
  • 关键字: 十进制  余三码  减法计数器  

用74LS161构成十进制计数器的两种方法

  • 第二种方案:利用后10个状态0110~1111,取D3D2D1D0=0110,反馈置数信号从进位输出端CO取得。
  • 关键字: 74LS161  十进制  计数器  

ADC0831模数转换程序

  • /*程序效果:数码管显示AD转换到的一字节数据,以十进制显示     调节相应的电位器,显示数据作相应的变化程 ...
  • 关键字: ADC0831  模数转换  十进制  

基于单片机的四位二进制转十进制计算显示程序

  • 此程序是根据自己的单片机板子写的,四位共阳数码管。k1-k4是板子上的4个键 用来进行二进制输入,因为按键只有按下和没有按下两种状态,十进制的数值就显示在数码管上.#include reg51.h>
    #define uchar unsigned c
  • 关键字: 计算  显示  程序  十进制  二进制  单片机  四位  基于  

基于MAX+ PLUS 的十进制计数器的设计

  • 摘 要: MAX+ PLUS Ⅱ 软件是一种易学易用的设计开发环境, 它在数字电路设计中的应用越来越广泛。基于此, 首先介绍了MAX + PLUS Ⅱ 软件常用的设计输入方法; 其次设计了十进制计数电路, 并用MAX + PLUS Ⅱ软件对电
  • 关键字: PLUS  MAX  十进制  计数器    

十进制计数器工作原理

  • 同二进制计数器相比,十进制计数器较为复杂。分析步骤一般是:(1)从逻辑图上得出每个触发器的输入信号表达式;(2)将 ...
  • 关键字: 十进制  计数器  
共9条 1/1 1

十进制介绍

十进制是世界上使用非常广泛的进位制,主因乃人类均有十只手指,是人类身上数数最方便的工具。 目录 1 数的表示法 2 十进制与度量衡 3 用文字表示十进整数位 4 用文字表示十进小数位 5 相关链接 6 外部链接 十进制-数的表示法 十进制可以表示任何整数。利用小数点,还可以表示一些小数。 十进制-十进制与度量衡 虽然十进制使用广泛 [ 查看详细 ]

热门主题

树莓派    linux   
关于我们 - 广告服务 - 企业会员服务 - 网站地图 - 联系我们 - 征稿 - 友情链接 - 手机EEPW
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司
备案 京ICP备12027778号-2 北京市公安局备案:1101082052    京公网安备11010802012473