首页  资讯  商机   下载  拆解   高校  招聘   杂志  会展  EETV  百科   问答  电路图  工程师手册   Datasheet  100例   活动中心  E周刊阅读   样片申请
EEPW首页 >> 主题列表 >> 交通灯控制器

交通灯控制器 文章 进入交通灯控制器技术社区

Verilog HDL基础之:实例5 交通灯控制器

  • 本实例通过Verilog HDL语言设计一个简易的交通等控制器,实现一个具有两个方向、共8个灯并具有时间倒计时功能的交通灯功能。
  • 关键字: VerilogHDL  华清远见  FPGA  交通灯控制器  

采用Multisim10的十字路口交通灯控制器系统仿真设计

基于VHDL语言的交通灯控制器设计及仿真结果

  • 应用VHDL语言设计数字系统,大部分设计工作可在计算机上完成,从而缩短系统开发时间,提高工作效率。下面介绍...
  • 关键字: VHDL  交通灯控制器  
共3条 1/1 1

交通灯控制器介绍

您好,目前还没有人创建词条交通灯控制器!
欢迎您创建该词条,阐述对交通灯控制器的理解,并与今后在此搜索交通灯控制器的朋友们分享。    创建词条

热门主题

树莓派    linux   
关于我们 - 广告服务 - 企业会员服务 - 网站地图 - 联系我们 - 征稿 - 友情链接 - 手机EEPW
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司
备案 京ICP备12027778号-2 北京市公安局备案:1101082052    京公网安备11010802012473