首页  资讯  商机   下载  拆解   高校  招聘   杂志  会展  EETV  百科   问答  电路图  工程师手册   Datasheet  100例   活动中心  E周刊阅读   样片申请
EEPW首页 >> 主题列表 >> 时钟域

时钟域 文章 进入时钟域技术社区

跨越时钟域

  • FPGA设计可以使用多个时钟。每个时钟在FPGA内部形成一个“时钟域”,如果在另一个时钟域中需要在一个时钟域中生成的信号,则需要格外小心。跨时钟域1-信号假设 clkB 域中需要来自 clkA 域的信号。 它需要“同步”到 clkB 域,因此我们要构建一个同步器设计,它从 clkA 域获取一个信号,并在 clkB 域中创建一个新信号。在第一种设计中,我们假设与 clkA 和 clkB 时钟速度相比,“信号输入”变化缓慢。您需要做的就是使用两个触发器将信号从 clkA 移动到 clkB。module Sig
  • 关键字: FPGA  时钟  时钟域  

基于异步FIFO实现不同时钟域间数据传递的设计

  • 摘    要:数据流在不同时钟域间的传递一直是集成电路芯片设计中的一个重点问题。本文通过采用异步FIFO的方式给出了这个问题的一种解决方法,并采用Verilog 硬件描述语言通过前仿真和逻辑综合完成设计。 关键词:异步FIFO;时钟域;Verilog引言当今集成电路设计的主导思想之一就是设计同步化,即对所有时钟控制器件(如触发器、RAM等)都采用同一个时钟来控制。但在实际的应用系统中,实现完全同步化的设计非常困难,很多情况下不可避免地要完成数据在不同时钟域间的传递(如高速模块
  • 关键字: Verilog  时钟域  异步FIFO  
共2条 1/1 1

时钟域介绍

您好,目前还没有人创建词条时钟域!
欢迎您创建该词条,阐述对时钟域的理解,并与今后在此搜索时钟域的朋友们分享。    创建词条

热门主题

树莓派    linux   
关于我们 - 广告服务 - 企业会员服务 - 网站地图 - 联系我们 - 征稿 - 友情链接 - 手机EEPW
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司
备案 京ICP备12027778号-2 北京市公安局备案:1101082052    京公网安备11010802012473