首页  资讯  商机   下载  拆解   高校  招聘   杂志  会展  EETV  百科   问答  电路图  工程师手册   Datasheet  100例   活动中心  E周刊阅读   样片申请
EEPW首页 >> 主题列表 >> 彩灯控制

彩灯控制 文章 进入彩灯控制技术社区

基于VHDL的彩灯控制

  • 随着科技日新月异的发展,在现代生活中,彩灯作为一种景观,安装在建筑物的适当地方一是作为装饰增添节日气氛,二是有一种广告宣传的作用;用在舞台上增强晚会灯光效果。对动态灯光实时控制的装置很多,如电脑编程4路
  • 关键字: VHDL  彩灯控制    

51单片机彩灯控制(包括功能说明、原理及C程序)

  • /*一、功能说明:此程序是一款普通的彩灯,它通过电源开关控制四种模式,即关掉电源然后打开电源就可以改变一种模 ...
  • 关键字: 51单片机  彩灯控制  

基于VHDL实现的十六路彩灯控制系统

  • 本文介绍应用美国ALTERA公司的MAX+PLUSⅡ平台,使用VHDL硬件描述语言实现的十六路彩灯控制系统。
  • 关键字: VHDL  彩灯控制  系统    
共3条 1/1 1

彩灯控制介绍

您好,目前还没有人创建词条彩灯控制!
欢迎您创建该词条,阐述对彩灯控制的理解,并与今后在此搜索彩灯控制的朋友们分享。    创建词条

热门主题

树莓派    linux   
关于我们 - 广告服务 - 企业会员服务 - 网站地图 - 联系我们 - 征稿 - 友情链接 - 手机EEPW
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司
备案 京ICP备12027778号-2 北京市公安局备案:1101082052    京公网安备11010802012473