首页  资讯  商机   下载  拆解   高校  招聘   杂志  会展  EETV  百科   问答  电路图  工程师手册   Datasheet  100例   活动中心  E周刊阅读   样片申请
EEPW首页 >> 主题列表 >> vhdl.programming

vhdl.programming 文章 进入vhdl.programming技术社区

基于VHDL的感应加热电源数字移相触发器设计方案

  • 电子产品世界,为电子工程师提供全面的电子产品信息和行业解决方案,是电子工程师的技术中心和交流中心,是电子产品的市场中心,EEPW 20年的品牌历史,是电子工程师的网络家园
  • 关键字: VHDL  感应加热电源  数字移相  触发器  

基于PLD与AVR总线通信接口VHDL设计与实现

  • 1、引言嵌入式系统在日常生活中的大量使用,人们也对其性能和速度提出了更高的要求。微控制器和可编程逻辑器 ...
  • 关键字: PLD  AVR  总线通信  VHDL  

出租车计价器VHDL程序

  • 程序设计与仿真。
    1. 出租车计价器VHDL程序
    --文件名:taxi.hd
    --功能:出租车计价器
    library IEEE;
    use IEEE.STD_LOGIC_1164.ALL;
    use IEEE.STD_LOGIC_ARITH.ALL;
    use IEEE.STD_LOGIC_UNSIGNED.ALL;
    enti
  • 关键字: VHDL  出租车计价器  程序    

基于VHDL的串口通信程序设计

  • 基于VHDL的串口通信程序设计,本模块的功能是验证实现和PC机进行基本的串口通信的功能。需要在PC机上安装一个串口调试工具来验证程序的功能。
    程序实现了一个收发一帧10个bit(即无奇偶校验位)的串口控制器,10个bit是1位起始位,8个数据位,1个
  • 关键字: 程序设计  通信  串口  VHDL  基于  

步进电机定位控制系统VHDL程序与仿真

  • 步进电机定位控制系统VHDL程序与仿真
    library IEEE;
    use IEEE.std_logic_1164.all;
    use IEEE.std_logic_arith.all;
    use IEEE.std_logic_unsigned.all;
    entity step_motor is
    port (reset:in STD_LOGIC; --系统
  • 关键字: VHDL  步进电机  定位控制系统  程序    

可以将第三方的IP(来自VHDL或Verilog)吸纳到NI Fl

  • 如果适配器模块是由NI公司开发的,那么不需要任何VHDL或其他硬件描述语言的经验。所有的FPGA编程均通过NI LabVIEW FPGA模块和NI-RIO驱动程序软件以图形化的方式完成。如果该适配器模块是由第三方开发的,则或许提供定
  • 关键字: FlexRIO  Verilog  VHDL  IP    

VHDL语言的帧同步算法实现

  • 数字通信网中,帧同步是同步复接设备中最重要的部分,他包括帧同步码的产生和帧同步码的识别,其中接收端的帧同步识别电路的结构对同步性能的影响是主要的。

    1 工作原理

    实现帧同步的基本方法是在发送端预先规
  • 关键字: 实现  算法  同步  语言  VHDL  

SDH中E1接口分接复用器VHDL设计及FPGA实现

基于CPLD和VHDL的电梯控制系统设计

  • 1 引言  随着社会的发展。使用电梯越来越普遍,已从原来只在商业大厦、宾馆过渡到在办公室、居民楼等多种 ...
  • 关键字: CPLD  VHDL  电梯控制  

基于FPGA的新型脉冲电源控制系统

  • 1引言在同步辐射加速器的电源设备中,旧的脉冲电源控制系统采用通用的逻辑元器件进行设计,电路复杂,调试...
  • 关键字: FPGA  VHDL  脉冲电源  

微机保护控制接口的CPLD抗干扰设计

  • 摘要:介绍一种用VHDL在CPLD芯片上设计微机保护系统控制接口的方法来提高抗干扰性能,试验结果表明:控制接口...
  • 关键字: 微机保护  抗干扰  冗余设计  VHDL  CPLD  

VHDL设计中信号与变量的区别及应用技巧

  • VHDL设计中信号与变量的区别及应用技巧,在VHDL程序设计中,可以充分利用信号或变量的系统默认值,来灵活实现设计目标。本文从应用的角度举例说明了VHDL设计中信号与变量的区别,以及正确的使用方法,并介绍了为信号或变量赋予初始值的技巧。  概述  随
  • 关键字: 区别  应用技巧  变量  信号  设计  VHDL  

基于VHDL的微型打印机控制器设计

  • 1 引言   VHDL是一种面向设计、多层次的数字系统设计的标准化硬件描述语言,VHDL不需依赖冯middot;诺伊曼结构,可实现时序和真正并行设计,从而开辟一种全新的数字系统的设计途径。使用VHDL语言更便于建立层次结构
  • 关键字: 控制器  技术  打印机  微型  设计  VHDL  

VHDL语言应用实例指导

  • VHDL中的标识符可以是常数、变量、信号、端口、子程序或参数的名字。使用标识符要遵守如下法则:middot;标识符由字母(Ahellip;Z;ahellip;z)、数字和下划线字符组成。middot;任何标识符必须以英文字母开头。m
  • 关键字: VHDL  应用实例    

MCS-51单片机与PLD 可编程器件接口设计

  • 摘要:采用Lattice公司的PLD器件ISPLSI1032,基于VHDL描述语言设计了一种MCS-51单片机与PLD可编程逻辑器件的接 ...
  • 关键字: 单片机  PLD  EDA  VHDL  
共251条 9/17 |‹ « 7 8 9 10 11 12 13 14 15 16 » ›|
关于我们 - 广告服务 - 企业会员服务 - 网站地图 - 联系我们 - 征稿 - 友情链接 - 手机EEPW
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司
备案 京ICP备12027778号-2 北京市公安局备案:1101082052    京公网安备11010802012473