首页  资讯  商机   下载  拆解   高校  招聘   杂志  会展  EETV  百科   问答  电路图  工程师手册   Datasheet  100例   活动中心  E周刊阅读   样片申请
EEPW首页 >> 主题列表 >> vhdl.programming

vhdl.programming 文章 进入vhdl.programming技术社区

基于MUX的PSK调制系统设计

  • 载波的两种相位随二进制数字基带信号离散变化称为二进制移相键控(2PSK)。对BPSK和DPSK调制原理研究基础上,讨论了数字化处理2PSK调制系统的模块建立,在Max+PlusⅡ开发环境中,用VHDL语言设计BPSK和DPSK调制,利用MUX模块完成了PSK调制系统,仿真和验证了其设计功能。
  • 关键字: BPSK  DPSK  Max+PlusⅡ  VHDL  

单片机多机冗余设计及控制模块的VHDL语言描述

  • 本文提出一种表决式单片机多机冗余设计方案。该方案不同于中央系统的多机冗余设计。大规模系统冗余大多采用完善而复杂的机间通讯协议实现系统重构,不太注重系统的实时性。本方案结构简单,易于实现,具有极强的实时
  • 关键字: 语言  描述  VHDL  模块  设计  控制  单片机  

基于Petri网的并行控制器的VHDL实现

  • Petri网是异步并发系统建模与分析的一种重要工具,1962年由德国科学家C.A.Petri博士创立。40多年来,Petri网理论得到了很大的丰富和发展,其应用领域也在不断扩大,越来越受到国际同行的重视,已成为计算机、自动化和
  • 关键字: VHDL  实现  控制器  并行  Petri  基于  

一种基于VHDL语言的全数字锁相环的实现

  •  随着集成电路技术的不断进步,数字化应用逐渐普及,在数字通信、电力系统自动化等方面越来越多地运用了数字锁相环。它的好处在于免去了模拟器件的繁琐,而且成本低、易实现、省资源。本文综合以上考虑,在一片FPGA
  • 关键字: VHDL  全数字  锁相环    

基于VHDL的SDRAM控制器的实现

  • 在高速实时或者非实时信号处理系统当中,使用大容量存储器实现数据缓存是一个必不可少的环节,也是系统实现中的重点和难点之一。SDRAM(同步动态随机访问存储器)具有价格低廉、密度高、数据读写速度快的优点,从而成
  • 关键字: 实现  控制器  SDRAM  VHDL  基于  

基于Multisim的VHDL建模与仿真

  • 摘要:主要分析了QuartusⅡ的特点和虚拟仿真软件的优越性,以交通灯控制系统为例,介绍了在虚拟仿真软件Multisim平台上使用VHDL硬件描述语言进行程序编写、电路建模和仿真的方法。仿真实验证明了该方法的有效性。
  • 关键字: Multisim  VHDL  电路仿真  交通灯  

FCSR原理及其VHDL语言的实现

  • 摘 要:伪随机序列发生器是序列密码设计中的重要环节,FCSR是其中一类重要思想。本文介绍了FCSR的特性和产生方法,并用VHDL语言予以实现,给出FCSR序列的主程序和仿真波形,最后指出需要注意的问题。关键词:进位移
  • 关键字: FCSR  VHDL  原理    

通用异步串行接口的VHDL实用化设计

  • 摘 要:通用异步串行接口(Universal AsynchrONous Receiver TraNSmitter,UART)在通信、控制等领域得到了广泛应用。根据UART接口特点和应用需求,以提高VHDL设计的稳定性和降低功耗为目标,本文讨论了UART接口中时钟
  • 关键字: VHDL  异步串行  接口    

基于VHDL的彩灯控制

  • 随着科技日新月异的发展,在现代生活中,彩灯作为一种景观,安装在建筑物的适当地方一是作为装饰增添节日气氛,二是有一种广告宣传的作用;用在舞台上增强晚会灯光效果。对动态灯光实时控制的装置很多,如电脑编程4路
  • 关键字: VHDL  彩灯控制    

基于VHDL语言的FPGA简易数字钟设计

  • 作为一个菜鸟我很愿意分享下我做的一些小东西,记得一年前好像少几天吧,看记录是2009年5月19日我用51单片机做数字钟的情景,那个时候用汇编,焦头烂额,做了三天,还请教了老师。哎,现在都已经用C了,而且重心已经
  • 关键字: 数字  设计  简易  FPGA  VHDL  语言  基于  

基于VHDL实现多路彩灯控制器设计应用

  • 一、多路彩灯控制器设计原理设计一个彩灯控制程序器。可以实现四种花型循环变化,有复位开关。整个系统共有三个输入信号CLK,RST,SelMode,八个输出信号控制八个彩灯。时钟信号CLK脉冲由系统的晶振产生。各种不同花
  • 关键字: VHDL  多路  灯控  制器设计    

VHDL-AMS在控制系统分析与设计中的应用

  • 1 引 言EDA是现代电子系统设计的关键技术。硬件描述语言VHDL以其“代码复用”(code re-use)远高于传统的原理图输入法等诸多优点,逐渐成为EDA技术中主要的输入工具。然而,基于IEEE VHDL Std 1076-1993标准
  • 关键字: VHDL-AMS  控制系统  分析  中的应用    

基于VHDL +FPGA 的自动售货机控制模块的设计与实现

  • EDA技术是以计算机为工具完成数字系统的逻辑综合、布局布线和设计仿真等工作。电路设计者只需要完成对系统功能的描述,就可以由计算机软件进行系统处理,最后得到设计结果,并且修改设计方案如同修改软件一样方便。利用
  • 关键字: VHDL  FPGA  自动售货机  控制模块    

基于EP1C6Q240C8和VHDL的定时器模块化方案设计

  • 本设计采用可编程芯片和VHDL语言进行软硬件设计,不但可使硬件大为简化,而且稳定性也有明显提高。由于可编程芯片的频率精度可达到50 MHz,因而计时精度很高。本设计采用逐位设定预置时间,其最长时间设定可长达99小
  • 关键字: 240C  Q240  VHDL  240    

全同步数字频率计的 VHDL设计与仿真

  • 1 引 言

    频率测量不仅在工程应用中有非常重要的意义,而且在高精度定时系统中也处于核心地位,plusmn;1个计数误差通常是限制频率测量精度进 一步提高的重要原因。由于测频技术的重要性,使测频方法也有了很大的发
  • 关键字: VHDL  数字频率计  仿真    
共251条 7/17 |‹ « 5 6 7 8 9 10 11 12 13 14 » ›|
关于我们 - 广告服务 - 企业会员服务 - 网站地图 - 联系我们 - 征稿 - 友情链接 - 手机EEPW
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司
备案 京ICP备12027778号-2 北京市公安局备案:1101082052    京公网安备11010802012473