首页  资讯  商机   下载  拆解   高校  招聘   杂志  会展  EETV  百科   问答  电路图  工程师手册   Datasheet  100例   活动中心  E周刊阅读   样片申请
EEPW首页 >> 主题列表 >> vhdl-cpld

vhdl-cpld 文章 进入vhdl-cpld技术社区

I2C串行总线协议的VHDL实现

  • 分析了I2C串行总线的数据传输机制,用VHDL设计了串行总线控制电路,其中包括微处理器接口电路和I2C总线接口电路。采用ModelSim Plus 6.0 SE软件进行了前仿真和调试,并在Xilinx ISE 7.1i开发环境下进行了综合、后仿真和CPLD器件下载测试。 结果表明实现了I2C串行总线协议的要求。
  • 关键字: I2C总线控制  VHDL  仲裁  

基于FPGA的QPSK调制解调的系统仿真

  • 本文针对传统的四相移键控(QPSK)的调制解调方式提出一种基于高速硬件描述语言(VHDL)的数字式QPSK调制解调模型。这种新模型便于在目标芯片FPGA/CPLD上实现QPSK调制解调功能。文中介绍了QPSK调制解调的原理,并基于FPGA实现了QPSK调制解调电路。并给出了可编程逻辑器件FPGA的最新一代集成设计环境QuartusⅡ进行系统仿真的仿真结果。
  • 关键字: 四相移键控  VHDL  调制解调模型  

VHDL设计电路优化问题

  • 近年来,随着集成电路技术和EDA技术的不断发展,集设计、模拟、综合和测试功能为一体的VHDL语言,已作为IEEE标准化的硬件描述语言。因此,对VHDL设计中简化电路结构,优化电路设计的问题进行深入探讨,很有必要。
  • 关键字: 电路优化  VHDL  硬件描述  

基于VHDL+FPGA的自动售货机控制模块的设计与实现

  • EDA技术是以计算机为工具完成数字系统的逻辑综合、布局布线和设计仿真等工作。电路设计者只需要完成对系统功能的描述,就可以由计算机软件进行系统处理,最后得到设计结果,并且修改设计方案如同修改软件一样方便。
  • 关键字: VHDL  EDA  FPGA  

基于FPGA的VHDL语言电路优化设计

  • 在VHDL语言电路优化设计当中,优化问题主要包括面积优化和速度优化。面积优化是指CPLD/FPGA的资源利用率优化,即用尽可能少的片内资源实现更多电路功能;速度优化是指设计系统满足一定的速度要求。
  • 关键字: 电路优化设计  VHDL  FPGA  

基于CPLD的GPIB控制器

  • GPIB控制器芯片是组建自动测试系统的核心,在测试领域应用广泛。本文拟讨论用ALTERA公司的低成本 CPLD 来实现 GPIB 控制器的功能。GPIB 控制器芯片的硬件设计主 要分为状态机的实现、数据通道和微处理接口的设计。本文重点介绍了各个模块的实现原理。
  • 关键字: GPIB控制器  自动测试系统  CPLD  

基于FPGA的串行通信控制系统的设计

  • 在Altera Cyclone II平台上采用“自顶向下”的模块化设计思想及VHDL硬件描述语言,设计了串行通信控制系统。在Quartus II软件上编译、仿真后下载到FPGA芯片EP2C5Q208上,进行在线编程调试,实现了串行通信控制功能。基于FPGA的系统设计调试维护方便、可靠性高,而且设计具有灵活性,可以方便地进行扩展和移植。
  • 关键字: 模块化设计  串行通信控制系统  VHDL  

TPC码译码器硬件仿真的优化设计

  • 介绍一种TPC码迭代译码器的硬件设计方案,基于软判决译码规则,采用完全并行规整的译码结构,使用VHDL硬件描述语言,实现了码率为1/2的(8,4)二维乘积码迭代译码器,并特别通过硬件测试激励来实时测量所设计迭代译码器的误码率情况,提出了优化设计方案,和传统的硬件仿真方法相比大大提高了仿真效率。仿真结果证明该译码器有很大的实用性和灵活性。
  • 关键字: TPC码迭代译码器  VHDL  软判决译码规则  

利用P89C669的23b的线性地址并采用CPLD外部扩展

  • 如果能充分利用P89C669的丰富的线性地址资源,将能大大增强系统能力。在一个嵌入式系统开发中,笔者采用ALTERA公司的CPLD芯片EPM7032利用这款单片机的线性地址扩展了丰富的外部设备资源。
  • 关键字: 线性地址  存储器扩展  CPLD  

VHDL设计中电路优化问题

  • VHDL设计是行为级设计,所带来的问题是设计者的设计思考与电路结构相脱节。实际设计过程中,由于每个工程师对语言规则和电路行为的理解程度不同,每个人的编程风格各异,往往同样的系统功能,描述的方式不一,综合出来的电路结构更是大相径庭。即使最终综合出的电路都能实现相同的逻辑功能,但其电路的复杂程度和时延特性差别很大,甚至某些臃肿的电路还会产生难以预料的问题。因此,对VHDL设计中简化电路结构,优化电路设计的问题进行深入探讨,很有必要。
  • 关键字: 行为级设计  VHDL  逻辑资源  

基于VHDL的旋转编码器接口电路的实现

  • 用VHDL语言设计的增量式旋转编码器接口电路,实现了四倍频、双向计数的功能以及与单片机的接口。给出了在MAX Plus II环境下的VHDL源代码和时序仿真结果。本设计在角度测量、位移测量和高度测量等方面有广泛的应用价值。
  • 关键字: 旋转编码器  VHDL  时序仿真  

基于CPLD的雷达仿真信号的设计

  • 雷达信号的仿真是测试系统中必不可少的。但采用函数/任意波发生器组成测试系统,不仅增加系统成本,而且还给系统软件设计增加不必要的负担。为此,提出了一种基于CPLD的雷达仿真信号的实现方案,它能为机载雷达测试系统提供所需的多种典型的重频脉冲及制导信号。
  • 关键字: 雷达信号  任意波发生器  CPLD  

同步数字复接的设计及其FPGA技术实现

  • 在简要介绍同步数字复接基本原理的基础上,采用VHDL语言对同步数字复接各组成模块进行了设计,并在ISE集成环境下进行了设计描述、综合、布局布线及时序仿真,取得了正确的设计结果,同时利用中小容量的FPGA实现了同步数字复接功能。
  • 关键字: 同步数字复接  VHDL  FPGA  

VHDL语言为核心的EDA技术在医学中的应用方案

  • 将VHDL与医学相结合,势必成为电子自动化设计(EDA)一个全新的研究方向,本文主要研究将EDA通过VHDL应用于医学,以对脉搏的测量为例,以实现数字系统对人体多种生理活动及生理反应的直观精确测量。
  • 关键字: EDA技术  VHDL  系统级描述  

基于单片机及CPLD的B超VGA检测工装设计

  • 由于B超中为了增强图像分辨率,通道都比较多,大多是16、24、48、64甚至更多通道。这些通道电子元器件完全一样,要求各通道的一致性要好,在装整机前,最好有测试手段和方法,对所有通道能进行测试,以去除器件本身和焊接电路板中出现的问题,基于此目的,本人设计了B超检测工装。
  • 关键字: B超检测工装  图像分辨率  CPLD  
共994条 8/67 |‹ « 6 7 8 9 10 11 12 13 14 15 » ›|
关于我们 - 广告服务 - 企业会员服务 - 网站地图 - 联系我们 - 征稿 - 友情链接 - 手机EEPW
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司
备案 京ICP备12027778号-2 北京市公安局备案:1101082052    京公网安备11010802012473