首页  资讯  商机   下载  拆解   高校  招聘   杂志  会展  EETV  百科   问答  电路图  工程师手册   Datasheet  100例   活动中心  E周刊阅读   样片申请
EEPW首页 >> 主题列表 >> vhdl-ams

vhdl-ams 文章 进入vhdl-ams技术社区

VHDL编码中面积优化探讨

  • 功能强大的EDA开发软件和专业的综合工具的不断发展,使应用VHDL进行PLD设计变得更简单、更快捷。但决不能忽视VHDL语言的使用。随着所设计电路规模的增大,对有限的芯片资源的利用率问题就显得尤其重要。在不影响速度要求前提下,应尽可能地进行面积优化。适当地进行编码是优化设计的重要保障,对高质量、高效率地完成VHDL是十分有意的。
  • 关键字: VHDL  编码  面积优化  

EDA中的车载DVD位控主要VHDL源程序

FPGA系列相关图书介绍

基于FPGA的数字式心率计的设计实现

  • 心率计是常用的医学检查设备,实时准确的心率测量在病人监控、临床治疗及体育竞赛等方面都有着广泛的应用。心率测量包括瞬时心率测量和平均心率测量。瞬时心率不仅能够反映心率的快慢。同时能反映心率是否匀齐;平均心率虽只能反映心率的快慢,但记录方便,因此这两个参数在测量时都是必要的。
  • 关键字: VHDL  数字式  FPGA  心率计  设计  

VHDL结构体的行为描述法

  • 所谓结构体的行为描述(behavioral descriptions),即对设计实体按算法的路径来描述。行为描述在EDA工程中称为高层次描述或高级描述,
  • 关键字: VHDL  结构体  行为描述法  

异步FIFO的VHDL设计

  • 本文给出了一个利用格雷码对地址编码的羿步FIFO的实现方法,并给出了VHDL程序,以解决异步读写时钟引起的问题。
  • 关键字: 异步  FIFO  VHDL  设计  

VHDL结构体的结构化描述法

  • 在结构体中,设计任务的程序包内定义了一个8输入与门(and8)和一个二异或非门(xnor2)。把该程序包编译到库中,可通过USE从句来调用这些元件,并从work库中的gatespkg程序包里获取标准化元件。
  • 关键字: VHDL  结构体  结构化  描述法  

VHDL结构体的数据流描述法

  • 据流描述(dataflow description)是结构体描述方法之一,它描述了数据流程的运动路径、运动方向和运动结果。例如,同样是一个8位比较器采用数据流法编程
  • 关键字: VHDL  结构体  数据流  描述法  

用VHDL设计实现的有线顶盒信源发生方案

  • VHDL是随着可编辑逻辑器件(PLD)的发展而发展起来的一种硬件描述语言。它是1980年美国国防部VHSIC(超高速集成电路)计划的一部分,并于1986年和1987年分别成为美国国防部和IEEE的工业标准。作为一种硬件设计时采用的标准语言,VHDL具有极强的描述能力,能支持系统行为级、寄存器传输级和门级三个不同层次的设计,这样设计师将在TOP-DOWN设计的全过程中均可方便地使用同一种语言。
  • 关键字: VHDL  有线顶盒  信源发生  方案  

Verilog语言要素

  • Verilog HDL 中的标识符 (identifier) 可以是任意一组字母、数字、 $ 符号和 _( 下划线 ) 符号的组合,但标识符的第一个字符必须是字母或者下划线。另外,标识符是区分大小写的。
  • 关键字: Verilog  语言要素  VHDL  

Verilog HDL的历史及设计流程

  • Verilog HDL 是硬件描述语言的一种,用于数字电子系统设计。该语言是 1983 年由 GDA ( GateWay Design Automation )公司的 Phil Moorby 首创的。 Phil Moorby 后来成为 Verilog - XL 的主要设计者和 Cadence 公司( Cadence Design System )的第一个合伙人。
  • 关键字: VerilogHDL  VHDL  设计流程  

SystemVerilog语言简介

  • Verilog模块之间的连接是通过模块端口进行的。为了给组成设计的各个模块定义端口,我们必须对期望的硬件设计有一个详细的认识。不幸的是,在设计的早期,我们很难把握设计的细节。
  • 关键字: SystemVerilog  语言  VHDL  

HDL语言种类

  • HDL 语言在国外有上百种。高等学校、科研单位、 EDA 公司都有自己的 HDL 语言。现选择较有影响的作简要介绍。
  • 关键字: HDL  VHDL  种类  

Verilog HDL和VHDL的比较

  • 这两种语言都是用于数字电子系统设计的硬件描述语言,而且都已经是 IEEE 的标准。 VHDL 1987 年成为标准,而 Verilog 是 1995 年才成为标准的。这个是因为 VHDL 是美国军方组织开发的,而 Verilog 是一个公司的私有财产转化而来的。为什么 Verilog 能成为 IEEE 标准呢?它一定有其优越性才行,所以说 Verilog 有更强的生命力。
  • 关键字: Verilog  VHDL  HDL  

什么是VHDL?

  • VHDL 语言的英文全名是 Very High Speed Integrated Circuit Hardware Description Language ,即超高速集成电路硬件描述语言。 HDL 发展的技术源头是:在 HDL 形成发展之前,已有了许多程序设计语言,如汇编、 C 、 Pascal 、 Fortran 、 Prolog 等。
  • 关键字: VHDL  
共324条 4/22 |‹ « 2 3 4 5 6 7 8 9 10 11 » ›|
关于我们 - 广告服务 - 企业会员服务 - 网站地图 - 联系我们 - 征稿 - 友情链接 - 手机EEPW
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司
备案 京ICP备12027778号-2 北京市公安局备案:1101082052    京公网安备11010802012473