首页  资讯  商机   下载  拆解   高校  招聘   杂志  会展  EETV  百科   问答  电路图  工程师手册   Datasheet  100例   活动中心  E周刊阅读   样片申请
EEPW首页 >> 主题列表 >> verylog-hdl

verylog-hdl 文章 进入verylog-hdl技术社区

用硬件描述语言设计复杂数字电路的优点

  • 以前的数字逻辑电路及系统的规模的比较小而且简单,用电路原理图输入法基本足够了。但是一般工程师需要手工布线,需要熟悉器件的内部结构和外部引线特点,才能达到设计要求,这个工作量和设计周期都不是我们能想象的。现在设计要求的时间和周期都很短,用原理图这个方法显然就不符合实际了。
  • 关键字: Verilog  HDL  虚拟接口联盟  

HDL语言种类

  • HDL 语言在国外有上百种。高等学校、科研单位、 EDA 公司都有自己的 HDL 语言。现选择较有影响的作简要介绍。
  • 关键字: HDL  VHDL  种类  

Verilog HDL和VHDL的比较

  • 这两种语言都是用于数字电子系统设计的硬件描述语言,而且都已经是 IEEE 的标准。 VHDL 1987 年成为标准,而 Verilog 是 1995 年才成为标准的。这个是因为 VHDL 是美国军方组织开发的,而 Verilog 是一个公司的私有财产转化而来的。为什么 Verilog 能成为 IEEE 标准呢?它一定有其优越性才行,所以说 Verilog 有更强的生命力。
  • 关键字: Verilog  VHDL  HDL  

CPLD/FPGA在数字通信系统的应用

  • 1 引言近年来,由于微电子学和计算机技术的迅速发展,给EDA技术行业带来了巨大的变化。 HDL(hardware description language)硬件描述语言是一种描述电路行为的
  • 关键字: Verilog  CPLD  FPGA  HDL  汉明码  

FPGA协处理器实现代码加速的设计

  • 本文主要研究了代码加速和代码转换到硬件协处理器的方法。我们还分析了通过一个涉及到基于辅助处理器单元(APU)的实际图像显示案例的基准数据均衡决策的过程。该设计使用了在一个平台FPGA中实现的一个嵌入式PowerPC。
  • 关键字: 协处理器  代码加速  HDL  

Verilog HDL硬件描述语言:task和function说明语句的区别

  • task和function说明语句的区别task和function说明语句分别用来定义任务和函数。利用任务和函数可以把一个很大的程序模块分解成许多较小的任务和函数便于理解和调试。输入、输出和总线信号的值可以传入或传出任务和函
  • 关键字: function  Verilog  task  HDL    

Verilog HDL设计进阶:有限状态机的设计原理及其代码风格

  • 由于Verilog HDL和 VHDL 行为描述用于综合的历史还只有短短的几年,可综合风格的Verilog HDL 和VHDL的语法只是它们各自语言的一个子集。又由于HDL的可综合性研究近年来非常活跃,可综合子集的国际标准目前尚未最后形
  • 关键字: Verilog  HDL  进阶  代码    

基于FPGA的自适应均衡器的研究与设计

  • 摘要:近年来,自适应均衡技术在通信系统中的应用日益广泛,利用自适应均衡技术在多径环境中可以有效地提高数字接收机的性能。为了适应宽带数字接收机的高速率特点,本文阐述了自适应均衡器的原理并对其进行改进。最
  • 关键字: 自适应均衡器  宽带数字接收机  FPGA  Verilog HDL  

基于FPGA的高速长线阵CCD驱动电路

  • 高速长线阵CCD(电荷耦合器)具有低功耗,小体积,高精度等优势,广泛应用于航天退扫系统中的图像数据采集。而CCD驱动电路设计是CCD正常工作的关键问题之一,CCD驱动信号时序是一组相位要求严格的脉冲信号,只有时序信
  • 关键字: CCD  线阵  FPGA  verilog HDL  

基于Verilog HDL的SVPWM算法的设计与仿真

  • 摘要:空间矢量脉宽调制算法是电压型逆变器控制方面的研究热点,广泛应用于三相电力系统中。基于硬件的FPGA/CPLD芯片能满足该算法对处理速度、实时性、可靠性较高的要求,本文利用Verilog HDL实现空间矢量脉宽调制算
  • 关键字: 同步电动机  电压型逆变器  Verilog HDL  

一种高效网络接口的设计

  • 为了得到比传统片上网络的网络资源接口(NI)更高的数据传输效率和更加稳定的数据传输效果,提出了一种新的高效网络接口的设计方法,并采用Verilog HDL语言对相关模块进行编程,实现了高效传输功能,同时又满足核内路由的设计要求。最终通过仿真软件Xilinx ISE Design Suite 12.3和ModelSim SE 6.2b得到了满足设计要求的仿真结果。
  • 关键字: 片上网络  网络资源接口  核内路由  Verilog HDL  

混合同余法产生随机噪声的FPGA实现

  • 混合同余法产生随机噪声的FPGA实现,摘要:随着电子对抗技术的快速发展,在有源式干扰机中需要用到数字高斯白噪声。通过对混合同余法产生随机序列的原理研究,本文提出了一种利用FPGA产生高斯白噪声的方法。该方法在PC主控端的控制下,采用ROM查找表的方
  • 关键字: 高斯白噪声  混合同余法  FPGA  Verilog HDL  

基于CPLD的LCD1602显示系统设计与实现

  • 摘要:为了提高LCD1602显示效果,增强抗扰能力,文章基于TOP2812开发板,依据LCD1602操作时序要求,在开发板CPLD部分实现了LCD1602显示系统的设计。文中对
  • 关键字: LCD1602  显示系统  时序  Vetilog HDL  

基于ModelSim的使用说明、技术文献、应用实例汇总

  •   Mentor公司的ModelSim是业界最优秀的HDL语言仿真软件,它能提供友好的仿真环境,是业界唯一的单内核支持VHDL和Verilog混合仿真的仿真器。它采用直接优化的编译技术、Tcl/Tk技术、和单一内核仿真技术,编译仿真速度快,编译的代码与平台无关,便于保护IP核,个性化的图形界面和用户接口,为用户加快调错提供强有力的手段,是FPGA/ASIC设计的首选仿真软件。   浅析基于Modelsim FLI接口的协同仿真   介绍了如何利用modelsim提供的FLI(Foreign Langu
  • 关键字: HDL  ASIC  

基于CMOS或CCD图像传感器的经典设计及技术文献汇总

  •   图像传感器,或称感光元件,是一种将光学图像转换成电子信号的设备,它被广泛地应用在数码相机和其他电子光学设备中。早期的图像传感器采用模拟信号,如摄像管(video camera tube)。如今,图像传感器主要分为感光耦合元件(charge-coupled device, CCD)和互补式金属氧化物半导体有源像素传感器(CMOS Active pixel sensor)两种。本文介绍基于CMOS或CCD两种图像传感器的应用及技术文献,供大家参考。   基于USB传输及CMOS图像传感器的指纹识别仪的实
  • 关键字: Verilog HDL  QuartusⅡ  VHDL  
共97条 3/7 « 1 2 3 4 5 6 7 »
关于我们 - 广告服务 - 企业会员服务 - 网站地图 - 联系我们 - 征稿 - 友情链接 - 手机EEPW
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司
备案 京ICP备12027778号-2 北京市公安局备案:1101082052    京公网安备11010802012473