首页  资讯  商机   下载  拆解   高校  招聘   杂志  会展  EETV  百科   问答  电路图  工程师手册   Datasheet  100例   活动中心  E周刊阅读   样片申请
EEPW首页 >> 主题列表 >> sdram-based

sdram-based 文章 进入sdram-based技术社区

基于PC-Based PLC的控制系统的解决策略与实例

  • 随着PC技术的飞速发展,使得IPC(工业控制计算机)以及基于IPC的应用技术同样也得到了突飞猛进的发展。同时,随着In...
  • 关键字: PC-Based  PLC  控制系统  rs485  

高速PCB设计中的常见问题及解决方法

  •   随着器件工作频率越来越高,高速PCB设计所面临的信号完整性等问题成为传统设计的一个瓶颈,工程师在设计出完整的解决方案上面临越来越大的挑战。尽管有关的高速仿真工具和互连工具可以帮助设计设计师解决部分难题,但高速PCB设计中也更需要经验的不断积累及业界间的深入交流。   下面列举的是其中一些广受关注的问题。   布线拓朴对信号完整性的影响   当信号在高速PCB板上沿传输线传输时可能会产生信号完整性问题。意法半导体的网友tongyang问:对于一组总线(地址,数据,命令)驱动多达4、5个设备(FLA
  • 关键字: PCB设计  SDRAM  

FPGA最小系统电路分析:高速SDRAM存储器接口电路设

  • 高速SDRAM存储器接口电路设计SDRAM可作为软嵌入式系统的(NIOS II)的程序运行空间,或者作为大量数据的缓冲区。SDRAM是通用的存储设备,只要容量和数据位宽相同,不同公司生产的芯片都是兼容的。一般比较常用的SDRAM
  • 关键字: SDRAM  FPGA  最小系统  电路分析    

SDRAM接口设计

  • 1任务背景SDRAM具有大容量和高速的优点,目前其存取速度可以达到100~133MHz,单片容量可以达到64Mbit或更高,因...
  • 关键字: SDRAM  接口设计  

基于AHB接口的高性能LCD控制器IP设计

  • 本文将说明高性能LCD控制器IP的模块化设计概念(如图一)。FTLCDC200通过SDRAM控制器跟SoC内部总线通信,控制...
  • 关键字: 控制器    FIFO    SDRAM  

便携式发动机测试设备的研究

  • 摘要:针对现有发动机状态检测设备体积、重量大,集成度低,导致其灵活性较差的问题,本文提出了一种便携式发动机测试设备的研制方案,给出了详细的硬件和软件设计。该便携式发动机测试设备具有携带、使用方便、智能化、集成度高的特点。
  • 关键字: 检测设备  嵌入式  SDRAM  201306  

基于FPGA的DDR2 SDRAM存储器用户接口设计

  • 使用功能强大的FPGA来实现一种DDR2 SDRAM存储器的用户接口。该用户接口是基于XILINX公司出产的DDR2 SDRAM的存储控制器,由于该公司出产的这种存储控制器具有很高的效率,使用也很广泛,可知本设计具有很大的使用前景。本设计通过采用多路高速率数据读写探作仿真验证,可知其完全可以满足时序要求,由综合结果可知其使用逻辑资源很少,运行速率很高,基本可以满足所有设计需要。
  • 关键字: SDRAM  FPGA  DDR2  存储器    

DRAM SRAM SDRAM内存精华问题汇总

  • 问题1:什么是DRAM、SRAM、SDRAM?  答:名词解释如下  DRAM--------动态随即存取器,需要不断的刷新,才能保存 ...
  • 关键字: DRAM  SRAM  SDRAM  

一种基于FPGA的DDR SDRAM控制器的设计

  • 摘要 对DDR SDRAM的基本工作特性以及时序进行了分析与研究,基于FPGA提出了一种通用的DDRSDRAM控制器设计方案。在Modelaim上通过了软件功能仿真,并在FPGA芯片上完成了硬件验证。结果表明,该控制器能够较好地完成DD
  • 关键字: 控制器  设计  SDRAM  DDR  FPGA  基于  

车载信息的硬件电路设计

  • 硬件电路   主控模块的处理器采用三星公司的S3C2410,以其为核心扩展64MB的Nand-Flash和2MB的Nor-Flash用 ...
  • 关键字: 车载信息  S3C2410  SDRAM  

如何正确使用PC C Based逻辑分析仪

  • 如何正确使用PC C Based逻辑分析仪,工程师在测量数字讯号时使用逻辑分析仪能够快速的找出问题所在,而孕龙逻辑分析仪更提供了多项专利技术使工程师开发产品时可提升工作效率。孕龙科技逻辑分析仪除了强大的数字讯号分析功能外,人性化的操作接口也能够
  • 关键字: 逻辑  分析仪  Based  PC  正确  使用  如何  

用Xilinx FPGA实现DDR SDRAM控制器

  • 1 引言在高速信号处理系统中, 需要缓存高速、大量的数据, 存储器的选择与应用已成为系统实现的关键所在。DDR SDRAM是一种高速CMOS、动态随机访问存储器, 它采用双倍数据速率结构来完成高速操作。SDR SDRAM一个时钟周
  • 关键字: Xilinx  SDRAM  FPGA  DDR    

基于FPGA 的DDR SDRAM控制器在高速数据采集系统中

  • 实现数据的高速大容量存储是数据采集系统中的一项关键技术。本设计采用Altera 公司Cyclone系列的FPGA 完成了对DDR SDRAM 的控制,以状态机来描述对DDR SDRAM 的各种时序操作,设计了DDR SDRAM 的数据与命令接口。用控
  • 关键字: SDRAM  FPGA  DDR  控制器    

基于VHDL的SDRAM控制器的实现

  • 在高速实时或者非实时信号处理系统当中,使用大容量存储器实现数据缓存是一个必不可少的环节,也是系统实现中的重点和难点之一。SDRAM(同步动态随机访问存储器)具有价格低廉、密度高、数据读写速度快的优点,从而成
  • 关键字: 实现  控制器  SDRAM  VHDL  基于  

如何正确使用PC – Based逻辑分析仪

  • 电子产品世界,为电子工程师提供全面的电子产品信息和行业解决方案,是电子工程师的技术中心和交流中心,是电子产品的市场中心,EEPW 20年的品牌历史,是电子工程师的网络家园
  • 关键字: 正确使用  PC–Based  逻辑分析仪  
共171条 5/12 |‹ « 3 4 5 6 7 8 9 10 11 12 »
关于我们 - 广告服务 - 企业会员服务 - 网站地图 - 联系我们 - 征稿 - 友情链接 - 手机EEPW
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司
备案 京ICP备12027778号-2 北京市公安局备案:1101082052    京公网安备11010802012473