首页  资讯  商机   下载  拆解   高校  招聘   杂志  会展  EETV  百科   问答  电路图  工程师手册   Datasheet  100例   活动中心  E周刊阅读   样片申请
EEPW首页 >> 主题列表 >> rtl-4553

rtl-4553 文章 进入rtl-4553技术社区

CADENCE与Common Platform及ARM合作提供45纳米RTL-to-GDSII参考流程

  •   全球电子设计创新企业Cadence设计系统公司(NASDAQ: CDNS)今天宣布面向Common Platform™技术的45纳米参考流程将于2008年7月面向大众化推出。Cadence®与Common Platform技术公司包扩IBM、特许半导体制造公司和三星联合开发RTL-to-GDSII 45纳米流程,满足高级节点设计需要。该参考流程基于对应Common Power Format(CPF)的Cadence低功耗解决方案,而且还包含来自Cadence的关键可制造性设计(De
  • 关键字: CADENCE  Common Platform  ARM  RTL-to-GDSII  低功耗  

提高DFT设计测试覆盖率的有效方法

  • 伴随着现代大规模集成电路制造工艺的快速发展,设计工程师必需直面芯片制造过程中可能产生的物理缺陷。现...
  • 关键字: 寄存器  逻辑  存储器  RTL  接口  扫描  模拟  

新型高精度时钟芯片RTL-4553

  •   摘要:介绍EPSON公司最新推出的高精度时钟芯片RTC-4553的功能与特点。包括内部结构及引脚、功能控制和单字节的读程序。     关键词:单片机 时钟芯片 RTC-4553   现在流行的串行时钟芯片很多,如DS1302、DS1307、PCF8485等。这些芯片接口简单、价格低廉、使用方便,被广泛地采用,但这些芯片都存在时钟精度不高,易受环境影响,出现时钟混乱等缺点。本文介绍一种EPSON公司最新推出的RTC-4553时钟芯片。该芯片采用内置晶振和独特的数据方法,
  • 关键字: 时钟芯片  RTL-4553  高精度  MCU和嵌入式微处理器  

8位单片机与以太网控制器RTL8029接口的VHDL设计

  • 以CPLD为器件,采用VHDL语言,设计了51单片机与32位PCI总线以太网控制器RTL8029之间的接口逻辑,实现了8位单片机与3 2位以太网控制器之间的通信。
  • 关键字: VHDL  RTL  8位单片机    
共34条 3/3 « 1 2 3
关于我们 - 广告服务 - 企业会员服务 - 网站地图 - 联系我们 - 征稿 - 友情链接 - 手机EEPW
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司
备案 京ICP备12027778号-2 北京市公安局备案:1101082052    京公网安备11010802012473