首页  资讯  商机   下载  拆解   高校  招聘   杂志  会展  EETV  百科   问答  电路图  工程师手册   Datasheet  100例   活动中心  E周刊阅读   样片申请
EEPW首页 >> 主题列表 >> psoc 64

psoc 64 文章 进入psoc 64技术社区

赛普拉斯推出入门级PSoC 4000器件扩展基于PSoC4架构的产品线

  •   赛普拉斯半导体公司日前宣布,推出基于PSoC® 4架构的新产品系列,即低成本入门级32比特ARM®内核的片上系统,用于快速升级传统的8比特和16比特MCU。PSoC 4000系列具有PSoC设计灵活的特点,同时还囊括了赛普拉斯领先业界的CapSense®电容式触摸感应技术,适用于大批量生产的对成本敏感的产品。PSoC 4000器件具有真正的可扩展架构,能无缝升级到拥有更多资源的PSoC 4产品系列。   PSoC 4000系列还集成了可编程数字和模拟外设,能实现诸如计时器
  • 关键字: 嵌入式  PSoC 4  赛普拉斯  

基于PSOC的便携式多气体检测器

  • 电子产品世界,为电子工程师提供全面的电子产品信息和行业解决方案,是电子工程师的技术中心和交流中心,是电子产品的市场中心,EEPW 20年的品牌历史,是电子工程师的网络家园
  • 关键字: PSoC  多气体检测器  传感器  

PSoC Creator显著简化可编程器件上的软硬件协同设计

  •   采用嵌入式CPU内核的可编程器件为应对各种设计挑战提供了有效途径,而这些挑战仅仅通过软件或硬件的方法可谓举步维艰,或者说解决成本非常高昂。这些器件结合了能再编程的数字与模拟硬件资源,能提供您切实所需的功能。此外,设计工具能消除与可编程逻辑通常相关的大多数复杂性问题。例如,赛普拉斯半导体公司推出的PSoCCreator就是专为简化上述工作而精心设计的。借助PSoC Creator,用户不必一定要有完美的电路设计,仅需提供相关的设计元素即可,有点像在白板上绘画的感觉。随后,该工具能提供外设模块(即组件)的
  • 关键字: Creator  可编程  PSOC  DAC  

精心总结单片机、PSOC、FPGA三者的主要区别

  • PSoC相当于MCU+可编程模拟外围电路+可编程数字外围电路。FPGA是可编程数字外围电路。现在的单片机一般是mc...
  • 关键字: 单片机  PSOC  FPGA  

e络盟在中国推出赛普拉斯全新PSoC 4架构开发套件

  • 技术产品及电子系统设计、维护及维修解决方案分销商e络盟日前宣布推出来自赛普拉斯半导体公司的全新PSoC® 4开发套件。这款全新开发套件将赛普拉斯一流的PSoC模拟和数字架构同ARM®的低功耗Cortex™-M0内核完美结合,呈现出了更强大功能,可助设计师获得PSoC 4可编程片上系统架构的更高性能。
  • 关键字: e络盟  赛普拉斯  PSoC  ARM  

S6B0724芯片的LCD128*64的AVR128驱动程序

  • 以下是用S6B0724做驱动芯片的128*64的AVR128驱动程序,已经测试通过。请大家放心使用,呵呵!/****************** ...
  • 关键字: S6B0724芯片  LCD128*64  AVR128  

PSoC 5LP:带CPLD的可靠ARM嵌入式处理器

  • 您是不是需要复杂可编程逻辑器件(CPLD)但又不愿放弃固件?或者说您希望最好在单个芯片中同时集成微控制器和CPLD?PSoC® 5LP是赛普拉斯半导体公司的最新片上可编程系统,当它用作CPU时,它就是一款32位的67 MHz ARM Cortex-M3处理器,而用作CPLD时,其可编程逻辑提供的基于LUT的FPGA门,相当于20,000多个门。
  • 关键字: ARM  嵌入式  FPGA  PSoC  UDB  

工程师积极参与智慧生活挑战赛,关注未来生活

  • e络盟日前宣布举办新一届智慧生活挑战赛。该项全球性设计赛事旨在鼓励参赛选手利用单片机技术开发出具有前瞻性的创新产品,从而改变人们的生活方式,提高人们的生活水平。
  • 关键字: e络盟  PSoC  

64位A7更多细节:28nm+双核CPU+四核GPU

  •   苹果推出了64位A7处理器,而它也引起了大家的广泛关注。现在Chipworks又给出了A7的更多细节。   Chipworks通过A7分析后得出,它是一款基于28nm工艺制程的双核处理器(ARM v8架构,主频为1.3GHz),内置的GPU为四核心(Power VR G6430),同时他们还发现了Secure Enclave(安全区域),而该区域就是苹果之前说的存储加密指纹数据的地方。   此外,A7中的安全区域中还拥有至少3MB的SRAM(静态随机存储器,不需要刷新电路就能保存存储数据),同时C
  • 关键字: 64  A7  

拥有USB的PSoC应用于风扇控制

  • PSoC是一种对于标准的全数字式微控制器设计、纯粹的模拟设计以及介乎此二者之间的所有设计而言具有同等...
  • 关键字: USB  PSoC  风扇控制  

胰岛素泵的设计

  • 这篇文章是本人的“电子产品在医疗应用中的使用”[1]系列文章中的一部分。本文的重点在于电子产品在胰岛素泵设计中所扮演的角色。本文介绍了胰岛素泵的用途、整体工作方式,以及设计和实施的要求。
  • 关键字: 胰岛素泵  SoC  PSoC  

KORG PAD产品采用赛普拉斯PSoC 3器件驱动

  • 赛普拉斯半导体公司日期宣布,KORG公司在其新一代KAOSS PAD手持式合成器产品中,选用了赛普拉斯的PSoC® 3可编程片上系统。KORG Kaossilator 2 和 Mini Kaoss赋予用户自由艺术家的能力,兼具多层数字音乐能力,和由PSoC3控制的能够直观操作的触摸板和滑条功能。
  • 关键字: 赛普拉斯  KORG  PSoC  

隔离数字电源的另一个选择

  • 我的同事Chris Keeser正在研究PSoC 5LP开关电容模块,发现了该产品的一个隐藏特性。PSoC的入门设计工具PSoC Creator中并没有提到这个特性,参考手册提到了,但只是非常简略地一笔带过。您会问,这到底是什么特性?这就是开关电容模块中内置的一阶Sigma-Delta调制器模式。
  • 关键字: PSoC  隔离器  SoC  

ARM和赛普拉斯大学教育计划将共同举办活动

  • 赛普拉斯半导体公司日前宣布,公司已与ARM®在大学教育领域进行合作,共同推广赛普拉斯基于ARM Cortex®-M系列的PSoC®可编程片上系统架构。赛普拉斯大学联盟计划与ARM的大学计划均有与全球范围内的大学成功合作的基础,为学校提供补充性的电子工程教育项目,如workshop、实验、教材和设备。
  • 关键字: 赛普拉斯  ARM  PSoC  

关键业务系统中的故障安全电源监控

  • 电源监控在路由器、开关、存储系统和服务器等关键任务通信系统中发挥着重要作用。随着因特网的不断发展,过去5年来全球IP流量增长了8倍1。虽然通信系统的处理能力和速度取得了显著进步,能够与流量增长保持同步,但电源监控技术相对而言进步并不多。
  • 关键字: 电源监控  DC-DC  PSoC  
共199条 5/14 |‹ « 3 4 5 6 7 8 9 10 11 12 » ›|
关于我们 - 广告服务 - 企业会员服务 - 网站地图 - 联系我们 - 征稿 - 友情链接 - 手机EEPW
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司
备案 京ICP备12027778号-2 北京市公安局备案:1101082052    京公网安备11010802012473