首页  资讯  商机   下载  拆解   高校  招聘   杂志  会展  EETV  百科   问答  电路图  工程师手册   Datasheet  100例   活动中心  E周刊阅读   样片申请
EEPW首页 >> 主题列表 >> psg-06_v2.0

psg-06_v2.0 文章 进入psg-06_v2.0技术社区

WIFI_ESP8266通信系统设计

  • 实验任务任务:基于 STEP-MAX10M08核心板 和 STEP BaseBoard V3.0底板 完成WIFI_ESP8266通信系统设计并观察调试结果要求:通过手机或电脑网络调试助手给ESP8266模块发送数据,FPGA驱动ESP8266模块获取数据,并显示在底板的数码管上。解析:要通过ESP8266实现WIFI通信有多种方式,本实验采用方式:FPGA驱动ESP8266模块,将ESP8266配置成SoftAP模式同时配置成TCP Server,手机或电脑连接ESP8266的WIFI热点,网络调试助手
  • 关键字: 小脚丫核心板   STEP-MAX10M08核心板  STEP BaseBoard V3.0  WIFI通信系统  

屏幕保护系统设计

  • 实验任务任务:基于 STEP-MAX10M08核心板 和 STEP BaseBoard V3.0底板 完成屏幕保护系统设计并观察调试结果要求:通过底板上的VGA接口驱动液晶显示器在800×600@60Hz的模式下显示,实现经典屏幕保护的界面效果,让小脚丫Logo不断反弹移动。解析:将小脚丫Logo取模得到128×128像素的图片数据,通过FPGA编程驱动VGA液晶显示器,实现现经典屏幕保护的界面效果。实验目的在图片显示系统实验中我们学习过图片取模的方法,根据取模数据创建ram模块,本实验我们要学习VGA接
  • 关键字: 小脚丫核心板   STEP-MAX10M08核心板  STEP BaseBoard V3.0  屏幕保护系统  

图片显示系统设计

  • 实验任务任务:基于 STEP-MAX10M08核心板 和 STEP BaseBoard V3.0底板 完成图片显示系统设计并观察调试结果要求:将小脚丫的Logo转换成单色图片数据,驱动底板上1.8寸彩色液晶屏显示出来解析:将单色图片的数据存储到rom中,驱动1.8寸将图片刷到液晶屏上。实验目的扩展板卡上集成了1.8寸彩色液晶屏TFT_LCD模块,大家可以驱动LCD显示文字、图片或动态的波形。本实验主要学习1.8寸串行彩色液晶屏的驱动设计,然后将小脚丫Logo处理显示,完成图片显示系统的总体设计。了解1.8
  • 关键字: 小脚丫核心板   STEP-MAX10M08核心板  STEP BaseBoard V3.0  图像显示  

数字万年历设计

  • 实验任务普通列表项目任务:基于 STEP-MAX10M08核心板 和 STEP BaseBoard V3.0底板 完成数字万年历设计并观察调试结果普通列表项目要求:驱动底板上的实时时钟芯片DS1340Z获取时间信息(年、月、日、周、时、分、秒),显示在8位数码管上,分两页显示,第一页显示年月日周信息,第二页显示时分秒信息,通过旋转编码器调节数字万年历和控制显示,具体控制如下:万年历有8个状态(常态、调年、调月、调日、调周、调时、调分、调秒)按动旋转编码器在8个状态中依次循环切换常态下,转动编码器切换显示页
  • 关键字: STEP BaseBoard V3.0  小脚丫核心板   STEP-MAX10M08  FPGA  万年历  

数字温湿度计设计

  • 实验任务任务:基于 STEP-MAX10M08核心板 和 STEP BaseBoard V3.0底板 完成数字温湿度计设计并观察调试结果要求:驱动底板上的温湿度传感器SHT-20测量空气中的温度和湿度,将温湿度信息显示在8位扫描式数码管上。解析:通过FPGA编程驱动I2C接口温湿度传感器SHT-20,获取温湿度码值信息,将两种码值信息经过运算转换成物理温度湿度数据,然后经过BCD转码处理并显示到扫描式数码管上。实验目的前面的章节中我们学习了扫描式数码管模块和BCD转码模块的工作原理及驱动方法,也对I2C总
  • 关键字: STEP BaseBoard V3.0  小脚丫核心板   STEP-MAX10M08  FPGA  数字温湿度  

基于接近式传感器的智能接近系统设计

  • 实验任务任务:智能手机通话,手机靠近耳朵后关闭屏显,基于 STEP-MAX10M08核心板 和 STEP BaseBoard V3.0底板 完成智能接近系统设计并观察调试结果要求:驱动底板上的接近式传感器APDS-9901获得接近数据,控制核心板上LED按能量条方式点亮解析:通过FPGA编程驱动接近式传感器APDS-9901,获取接近距离信息,然后根据距离信息编码控制8个LED灯按能量条方式点亮。实验目的本节实验主要学习I2C总线工作原理、协议及相关知识,掌握FPGA驱动I2C设备的原理及方法,了解输入输
  • 关键字: STEP BaseBoard V3.0  小脚丫核心板   STEP-MAX10M08  FPGA  传感器系统  

基于DDS的任意波形、信号发生器设计

  • 实验任务任务:基于 STEP-MAX10M08核心板 和 STEP BaseBoard V3.0底板 完成波形信号发生器设计并观察调试结果要求:通过底板上的旋转编码器控制串行DAC芯片DAC081S101基于DDS技术产生波形可选、频率可调的常见波形信号。解析:FPGA驱动旋转编码器得到操作信息,通过逻辑控制波形和频率寄存器,设计DDS模块根据波形和频率寄存器控制波形数据的输出,波形数据通过串行DAC驱动模块传送到底板的DAC芯片进行转换,得到波形信号输出。实验目的前面章节我们学习了旋转编码器的工作原理及
  • 关键字: STEP BaseBoard V3.0  小脚丫核心板   STEP-MAX10M08  FPGA  信号发生器  

简易电压表设计

  • 实验任务任务:基于 STEP-MAX10M08核心板 和 STEP BaseBoard V3.0底板 完成简易电压表设计并观察调试结果要求:通过底板上的串行模数转换器ADC芯片测量可调电位计输出电压,并将电压信息显示在核心板的数码管上。解析:通过FPGA编程驱动串行ADC芯片,得到数字量化的电压信息,将量化的数字信息转换成BCD码形式,同时驱动独立数码管将电压值显示出来。实验目的在基础数字电路实验部分我们已经掌握了FPGA驱动独立数码管的原理及方法,本实验主要学习模数转换器ADC的相关知识,串行(SPI接
  • 关键字: STEP BaseBoard V3.0  小脚丫核心板   STEP-MAX10M08  FPGA  电压表  

串口监视系统设计

  • 实验任务任务:基于 STEP-MAX10M08核心板 和 STEP BaseBoard V3.0底板 完成串口监视系统设计并观察调试结果。要求:设计串口监视系统,实时监控串口(UART)接收数据,并将数据显示在底板的8位数码管上(仅限数字0~9)。解析:通过FPGA编程驱动底板上的CP2102串口通信模块,接收来自PC(串口调试助手)或其他串口设备的数据,经过处理,最后通过驱动8位扫描式数码管模块,将接收到的数据显示在底板数码管上。实验目的本实验主要学习串口(UART)总线工作原理、协议及相关知识,练习如
  • 关键字: STEP BaseBoard V3.0  小脚丫核心板   STEP-MAX10M08  FPGA  串口监视  

比赛计分系统设计

  • 实验任务任务:基于 STEP-MAX10M08核心板 和 STEP BaseBoard V3.0底板 完成比赛计分系统设计并观察调试结果要求:按动核心板独立按键,驱动底板上8位数码管为比赛双方在0~999内计分。解析:FPGA驱动独立按键,当按动两队加分按键时,控制两队分数调整,最后通过驱动底板上的数码管电路将得分值显示在数码管上。实验目的在基础数字电路实验部分我们已经掌握了FPGA驱动独立按键的原理及方法,控制数码管显示十进制数的BCD码方案前面也多次介绍,本实验主要学习数码管扫描显示的原理及方法。熟悉
  • 关键字: STEP BaseBoard V3.0  小脚丫核心板   STEP-MAX10M08  FPGA  比赛计分系统  

基于旋转编码器的调节系统设计

  • 实验任务任务:基于 STEP-MAX10M08核心板 和 STEP BaseBoard V3.0底板 完成旋转调节系统设计并观察调试结果要求:转动底板上的旋转编码器,调整核心板数码管数值在0~99之间变化,右旋增加,左旋减小。解析:通过FPGA编程驱动旋转编码器获取操作信息,根据操作信息控制变量增加或减小,最后驱动独立式数码管将变量显示出来。实验目的在基础数字电路实验部分我们已经掌握了FPGA驱动独立显示数码管的原理及方法,本实验主要学习旋转编码器的驱动原理,最后完成旋转调节系统总体设计。熟悉独立显示数码
  • 关键字: STEP BaseBoard V3.0  小脚丫核心板   STEP-MAX10M08  FPGA  旋转编码器  

简易电子琴设计

  • 实验任务任务:基于 STEP-MAX10M08核心板 和 STEP BaseBoard V3.0底板 完成简易电子琴设计并观察调试结果要求:按动矩阵键盘,驱动底板无源蜂鸣器发出产生不同音调,弹奏一首《小星星》。解析:通过FPGA编程驱动矩阵键盘电路,获取矩阵键盘键入的信息,然后通过编码将键盘输出的信息译码成对应的音节数据,最后通过PWM发生模块驱动底板上的无源蜂鸣器发出声音。实验目的在基础数字电路实验部分我们已经掌握了FPGA设计PWM信号发生器的原理及方法,上节实验中又学习了矩阵键盘的驱动原理及方法,本
  • 关键字: STEP BaseBoard V3.0  小脚丫核心板   STEP-MAX10M08  FPGA  矩阵键盘  

RTI公司将在CES 2024展示软件定义汽车通信框架Connext Drive 3.0

  • 最大的自动自主系统软件框架提供商RTI公司宣布将于2024年1月9—12日在拉斯维加斯参展CES 2024。在LVCC West Hall的5276号展位,RTI公司将会演示Connext Drive®3.0——灵活且面向未来的网络通信框架,以数据为中心服务于软件定义汽车(SDV)。这套通信框架率先提供了平台独立性,并通过了功能安全最高标准ISO26262 ASIL D,可以帮助汽车制造企业缩短上市时间,并使DDS、AUTOSAR Classic、AUTOSAR Adaptive和ROS 2等平台紧密结合
  • 关键字: RTI公司  CES 2024  软件定义汽车  通信框架  Connext Drive 3.0  

矩阵键盘键入系统设计

  • 实验任务任务:基于 STEP-MAX10M08核心板 和 STEP BaseBoard V3.0底板 设计矩阵键盘键入系统并观察调试结果要求:按动矩阵键盘按键,通过核心板上的数码管显示按键的键值。解析:通过FPGA编程驱动矩阵键盘电路,获取矩阵键盘键入的信息,然后通过编码将键盘输出的信息译码成对应的键值信息,最后通过驱动核心板独立数码管,将键盘按键的键值显示在数码管上。实验目的在基础数字电路实验部分我们已经掌握了FPGA驱动独立显示数码管的原理及方法,掌握了有限状态机的设计实现思想,本实验主要学习矩阵键盘
  • 关键字: STEP BaseBoard V3.0  小脚丫核心板   STEP-MAX10M08  FPGA  矩阵键盘  

基于 STEP-MAX10M08核心板的简易电子琴设计

  • 实验任务任务:基于 STEP-MAX10M08核心板 和 STEP BaseBoard V3.0底板 完成简易电子琴设计并观察调试结果要求:按动矩阵键盘,驱动底板无源蜂鸣器发出产生不同音调,弹奏一首《小星星》。解析:通过FPGA编程驱动矩阵键盘电路,获取矩阵键盘键入的信息,然后通过编码将键盘输出的信息译码成对应的音节数据,最后通过PWM发生模块驱动底板上的无源蜂鸣器发出声音。实验目的在基础数字电路实验部分我们已经掌握了FPGA设计PWM信号发生器的原理及方法,上节实验中又学习了矩阵键盘的驱动原理及方法,本
  • 关键字: FPGA  电子琴  STEP-MAX10M08  STEP BaseBoard V3.0  
共1244条 2/83 « 1 2 3 4 5 6 7 8 9 10 » ›|

psg-06_v2.0介绍

您好,目前还没有人创建词条psg-06_v2.0!
欢迎您创建该词条,阐述对psg-06_v2.0的理解,并与今后在此搜索psg-06_v2.0的朋友们分享。    创建词条

热门主题

树莓派    linux   
关于我们 - 广告服务 - 企业会员服务 - 网站地图 - 联系我们 - 征稿 - 友情链接 - 手机EEPW
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司
备案 京ICP备12027778号-2 北京市公安局备案:1101082052    京公网安备11010802012473