首页  资讯  商机   下载  拆解   高校  招聘   杂志  会展  EETV  百科   问答  电路图  工程师手册   Datasheet  100例   活动中心  E周刊阅读   样片申请
EEPW首页 >> 主题列表 >> nios

uClinux在Nios II嵌入式平台上的移植研究

  • uClinux在Nios II嵌入式平台上的移植研究,1. Nios II嵌入式处理器Nios II是运行在FPGA上的五级流水线、单指令的RISC处理器,它专门针对Altera的可编程逻辑器件及片上可编程的设计思想做了相应的优化。作为一种可配置的精减的RISC处理器,它可以与用户自定义逻
  • 关键字: 移植  研究  平台  嵌入式  Nios  II  uClinux  

基于Nios II的Boost型功率因数校正系统研究

  • 基于Nios II的Boost型功率因数校正系统研究,摘要:分析了基于双环Boost型功率因数校正(PFC)的控制原理及小信号模型,建立了基于PI调节的Boost型PFC控制系统。由于现场可编程门阵列(FPGA)可将Nios II软核处理器及PWM等外设集成到系统主控芯片,从而使系统具有控
  • 关键字: 校正  系统  研究  因数  功率  Nios  II  Boost  基于  

NIOS开发结构基础简介

  • 我们使用VHDL语言,根据FPGA管脚与数码管和按键管脚的连接,通过一系列的语句控制管脚电平的高低,从而让FPGA实现数码管显示功能。可见,对于比较简单的功能实现,可以像这个例子中那样,直接控制最底层资源,甚至对
  • 关键字: NIOS  基础    

采用Nios II的多媒体广告系统原理设计

  • 引言
    多媒体广告是当今企业与消费者交流、展示自我形象的一个平台,传统形式的广告业务制作成本高,周期长,内容模式固化僵硬,相对于市场变化有很大滞后性。电子屏的出现,不仅可以使企业更全面的展示产品,推
  • 关键字: Nios  多媒体  系统原理    

基于Nios II与FPS200的半导体指纹采集系统设计

  • 引言信息化时代,生物识别技术作为信息安全和个人身份识别技术越来越受到人们的重视,指纹作为人体中最明显的外表特征之一,具有唯一性、稳定性、普遍性和易于采集等优点,成为人们的一种活的身份证。指纹图像的采
  • 关键字: Nios  FPS  200  半导体    

NIOS II 命令下载

  • 在NIOS II用命令来下载程序比GUI快很多,在GUI下载程序NIOS II还要重新编译,要等好几分钟。我们可以直接敲入命令,下载快得多,玩过Linux的人一看就懂了。在NIOS II工程名上点击右键,选中NIOS II / NIOS II Comman
  • 关键字: NIOS  II  命令  下载    

NIOS II IDE 如何设置头文件路径

  • 1. 选择工程,鼠标右键选择Properties 图12. 弹出项目属性对话框,选择Tool Settings标签下的Nios II CompileràGeneral 图23. 在Include Paths处添加lib库路径 图34. 添加完后点击Apply OK
  • 关键字: NIOS  IDE  II  头文件    

采用NIOSⅡ的LCD控制器和矩阵键盘的IP核的设计方法

  • 采用NIOSⅡ的LCD控制器和矩阵键盘的IP核的设计方法,0 引言  NIOSⅡ是Altera公司推出的第二代IP软核处理器。它与其他IP核可构成SOPC系统的主要部分。Altera SOPC Builder提供有NiosⅡ处理器及一些常用外设接口,因此,对于一些库中没有提供的模块,用户就可以自己定义
  • 关键字: IP  设计  方法  键盘  矩阵  NIOS  LCD  控制器  采用  

基于NIOSⅡ的声纳主机与显控台之间的RS232通信协议

  • 声纳设备一般由换能器(信号转换、收发设备)、信号处理主机(DSP等)和显示控制分机(简称显控台)三部分构成。显控台和主机之间的通信非常重要[1]。
    显控台和主机之间的通信距离较远,对通信的可靠性和安全
  • 关键字: RS232  通信  协议  之间  主机  NIOS  声纳  基于  

采用NiosⅡ处理器的总线架构的SD卡设计

  • 采用NiosⅡ处理器的总线架构的SD卡设计,SD存储卡以其大容量和小尺寸的特点,成为市面上各种嵌入式消费产品最常见的存储媒介,探讨SD卡设备的设计具有广泛的应用价值。这里将结合NiosⅡ处理器的总线架构,分析SD卡的接口协议和驱动程序设计方法,并给出SD卡
  • 关键字: SD  设计  架构  总线  Nios  处理器  采用  

用FPGA实现Nios II嵌入式系统配置技术

  • 用FPGA实现Nios II嵌入式系统配置技术,现场可编程门阵列(FPGA,Field Programmable Gate Array)是一种高密度可编程逻辑器件,其逻辑功能是通过把设计生成的数据文件配置进芯片内部的静态配置数据存储器(SRAM )来实现的,具有可重复编程性,可以灵活实现各
  • 关键字: 系统  配置  技术  嵌入式  II  实现  Nios  FPGA  

在20分钟内建立一个NIOS II开发环境的方法

  • 在20分钟内建立一个NIOS II开发环境的方法,一、 软件安装
    1. 首先,安装NIOS II 开发包。采用虚拟光驱软件,如DAEMON 等将NIOS II V1.0 BUILD316E.ISO 文件映射到虚拟光驱上;
    点击光驱图标,会自动运行安装程序

    选择第一个选项,Install;
    选择 Next
  • 关键字: 开发  环境  方法  II  NIOS  建立  一个  分钟  

基于Nios II步进电机控制器IP核的设计与实现

  • 摘要 根据Nios II处理器的Avalon总线规范,设计了一款面向步进电机的控制器IP核。该定制IP核采用软、硬件协同设计的方法,功能符合Avalon总线的读写传输时序,具有完备的步进电机驱动能力。仿真结果表明,该IP核具有
  • 关键字: IP  设计  实现  控制器  电机  Nios  II  步进  基于  

基于Nios II处理器的SVPWM IP Core设计

  • 摘要 为降低FPGA实现3电平SVPWM算法的复杂性,减小SVPWM模块所占用的资源,文中利用正弦函数和余弦函数的关系,采用小容量ROM提出了一种新的SVPWM控制算法。利用Verilog HDL实现了算法的硬件设计,并封装成IP核以方便
  • 关键字: SVPWM  Nios  Core  IP    

基于NiosⅡ的VGA彩条信号显示的实现

  • 摘 要:VGA 作为一种标准的显示接口得到广泛的应用。依据VGA 显示的原理,提出了一种基于Nios Ⅱ处理器的VGA 时序彩条信号显示的实现方法。利用FPGA 产生VGA 时序信号,Nios Ⅱ处理器控制输出彩条的颜色,按键控制彩条的
  • 关键字: 显示  实现  信号  VGA  Nios  基于  
共128条 3/9 « 1 2 3 4 5 6 7 8 9 »

nios介绍

Nios II   前不久,Altera 正式推出了Nios II系列32位RSIC嵌入式处理器。Nios II系列软核处理器是Altera的第二代FPGA嵌入式处理器,其性能超过200DMIPS,在Altera FPGA中实现仅需35美分。Altera的Stratix 、Stratix GX、 Stratix II和 Cyclone系列FPGA全面支持Nios II处理器,以后推出 [ 查看详细 ]

相关主题

Nios  NiosII  NIOS-II  NiosⅡ 

热门主题

NiosⅡ    树莓派    linux   
关于我们 - 广告服务 - 企业会员服务 - 网站地图 - 联系我们 - 征稿 - 友情链接 - 手机EEPW
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司
备案 京ICP备12027778号-2 北京市公安局备案:1101082052    京公网安备11010802012473