首页  资讯  商机   下载  拆解   高校  招聘   杂志  会展  EETV  百科   问答  电路图  工程师手册   Datasheet  100例   活动中心  E周刊阅读   样片申请
EEPW首页 >> 主题列表 >> fpga

FPGA可满足SMPTE视频标准对更高速率的需求

在Virtex-5 FPGA中使用CRC硬模块

  •   数据损坏是与数据传输和存储有关的首要问题。只要是在通道上传输数据,就总会有出现某些错误的有限概率。   关键是接收模块要能区分无错消息和有错消息。检错有多种方法,其中大多数都是专门为此目的引入冗余位。数据通信中常用的检错方法包括奇偶码、汉明码和循环冗余校验(CRC),其中CRC使用最广泛。   CRC根据一个给定的数据位组算出,然后在传输或存储之前附加到数据帧尾部。接收或检索到帧后,对其内容重新计算CRC,以此来验证其有效性,确保数据无误。   本文简述CRC计算所依据的原理,并且探讨用线性反馈
  • 关键字: FPGA  

基于FPGA的数字音频广播信道编码器的实现

  •   摘要:介绍了数字音频广播(DAB)信道编码的原理和关键技术,并应用单片FLEX10K100系列FPGA实现DAB信道编码器。   关键词:数字音频广播(DAB) 信道编码 FPGA   1 数字音频广播(DAB)发射系统及信道编码器   DAB是继调幅和调频广播之后的第三代广播体系。与模拟广播相比它不仅可以提供高质量的声音信号(CD音质),也可以提供数据、图像等多种其他附加服务。它可以保护在高速移动接收时的声音质量,具有很强的抗干扰能力,在同要瓣频带宽度和环境下,DAB可以提供高质量的多种多样的
  • 关键字: 数字音频 FPGA DAB 编码器   

Xilinx FPGA适应不断变化的广播视频潮流

  •   电视台的演播室需要在不替换庞大的以同轴电缆构建的基础架构的情况下,将模拟音频和视频转换为数字音频和视频,这样就逐渐形成了传输非压缩标清视频的串行数字接口(SDI)协议。如今,出于重新利用同轴电缆的同样目的,日益增长的屏幕分辨率和相关数据速率衍生出了若干新的串行数据通信格式。   首先出现的是SDI标准,通常称为SMPTE 259M标准,这是由电影与电视工程师协会(SMPTE)制定的,于1989年开始商业应用。在最初推出之际,用于接口的主要芯片是由ASSP芯片制造商提供的。SDI名义上的数据速率是27
  • 关键字: Xilinx FPGA  

透视 FPGA 的安全性

  •   今天的设计人员已经在许多不同的领域中选择FPGA作为首选的解决方案。这些FPGA器件早已超越了原本作为原型设计工具的范畴,逐渐用于生产应用中,尤其是消费电子和汽车电子等领域。据Gartner Dataquest市场研究公司指出,FPGA器件在汽车应用中的使用规模从2002到2005年增加约七倍。   这个增长在很大程度上是来自于FPGA本身的特点。由于象全球定位系统 (GPS) 导航装置和DVD播放机之类设备的产品寿命相对较短,因此缩短其开发周期变得非常重要。可编程逻辑便可为设计人员提供所需的灵活性
  • 关键字: FPGA  汽车  Flash  

面向FPGA的ESL工具

  •   逻辑设计领域正发生着根本变化,新一代设计工具能够帮助软件开发者将其算法表达直接转换成硬件,而无需学习传统的硬件设计技术。这些工具及相关设计方法学一起被归类为电子系统级 (ESL) 设计,广义上指从比目前主流的寄存器传输级 (RTL) 更高的抽象级别上开始的系统设计与验证方法学。与硬件语言如 Verilog 和 VHDL比起来,ESL 设计语言在语法和语义上与流行的 ANSI C 比较接近。   ESL 与 FPGA 的关系   ESL 工具已经存在了一段时间,而许多人觉得这些工具主要专注于 ASI
  • 关键字: FPGA  ESL  

宽频带数字锁相环的设计及基于FPGA的实现

  • 本文简要介绍了在FPGA中实现全数字锁相环(DPLL)的原理与方法,以解决在同步串行数据通信时的同步时钟不稳定时的快速恢复问题; 并重点介绍了采用可控模数分频器实现的数字锁相环中宽频带捕获的方法与实现过程。
  • 关键字: DPLL  FPGA  数字环路滤波器  时钟恢复  宽频带  

将DSP设计扩展为异构硬件平台

  •   您可以在自动流程中将一个 FPGA 协处理器添加到 DSP 系统中。   视频、影像和电信市场的标准推动了异构可重配置 DSP 硬件平台的使用。就本文而言,这些平台包括 DSP 处理器和 FPGA,它们提供的现成硬件解决方案可以解决视频、影像和电信设计中的重大难题,但仍不失产品差异化所需的足够的可定制性。   据市场研究公司 Forward Concepts 于 2005 年发表的一则调查报告(图 1)称,选择处理器和 FPGA 的主要标准不是器件本身,而是开发它们的工具。这一概念对于包含 FPG
  • 关键字: FPGA DSP   

AC-Link数字音频VHDL编/解码的FPGA设计

  •   引言   数字音频处理是指为真实再现声音的逼真效果而对音频进行的编解码处理技术,它是宽带网络多媒体、移动多媒体通信的关键技术.Audio Codec′97(音频数字信号编/解码器)是其中一种用于声音录放的技术标准,简称AC′97. AC′97采用双集成结构,即Digital Controller(数字信号控制器)和Audio Codec(音频编解码),使模/数转换器ADC和数?模转换器DAC转换模块独立,尽可能降低EMI(电磁干扰)的影响。   利用FPGA,可
  • 关键字: FPGA VHDL  

AC-Link数字音频VHDL编/解码的FPGA设计

  •        提出一种利用FPGA实现AC-Link数字音频处理的设计方案.AC-Link音频编解码系统以VHDL模块进行设计,经过波形仿真和结果验证后,将程序下载到FPGA中实现1这种设计方法可以缩短设计周期,提高设计的可靠性和效率。            引言         数字音频处理是指为真
  • 关键字: AC-Link VHDL FPGA   

FPGA在语音存储与回放系统中的应用

  •   1 引言   随着数字信号处理器、超大规模集成电路的高速发展,语音记录技术已从模拟录音阶段过渡到数字录音阶段。在数字化录音技术中,压缩后的语音数据有些存储在硬盘中,有些存储在带有掉电保护功能的RAM或FLASH存储器中。笔者介绍的语音存储与回放系统,未使用专用的语音处理芯片,不需要扩展接口电路,只利用FPGA作为核心控制器,就能完成语音信号的数字化处理,即实现语音的存储与回放。   2 系统总体结构   数字化语音存储与回放系统的基本工作原理是将模拟语音信号通过模数转换器(A/D)转换成数字信号
  • 关键字: FPGA  

基于DVD-ROM应用的内容加扰系统的VLSI设计

  •   摘 要:本文介绍了一种基于DVD-ROM应用的内容干扰系统(CSS)的设计和实现。该系统可有效防止对DVD盘片的非法拷贝。文中通过对其工作原理和实际应用的分析,给出其最终实现方案,并用Verilog HDL完整整个系统的设计。功能仿真和FPGA验证表明,设计成功。   关键词:内容加扰系统;DVD;Verilog HDL;FPGA验证   引 言   DVD-ROM的视频和音频数据是经过加密编码的,加扰的源是标题密钥(Tittle Key),同时标题密钥被光盘密钥(光盘密钥)加密,主机在播放影碟
  • 关键字: FPGA DVD CSS VLSI   

使用FPGA测试的一些有效方法

  • 引言   随着芯片设计技术越来越成熟,越来越多的产品选择使用SoC(System on Chip)的技术实现。然而,每一次流片不一定都能达到预期的效果。根据Synopsys公司统计,有超过60%的公司需要重新流片(respin)。在这个过程中浪费了大量的金钱,一次修正平均的花费就超过100万美元。如果一旦错过了商品推出的最佳时机,那么错过市场机会的代价则以数千万美元计,甚至更高。据统计,在需要respin的芯片中有43%是在前端的设计和实现的时候产生的逻辑功能错误。如何避免或减小如此高的风险是每一
  • 关键字: FPGA  
共6342条 387/423 |‹ « 385 386 387 388 389 390 391 392 393 394 » ›|

fpga介绍

FPGA是英文Field-Programmable Gate Array的缩写,即现场可编程门阵列,它是在PAL、GAL、EPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。 FPGA采用了逻辑单元阵列LCA(Logic Cell Array)这样一个新概念,内部包括可 [ 查看详细 ]

热门主题

关于我们 - 广告服务 - 企业会员服务 - 网站地图 - 联系我们 - 征稿 - 友情链接 - 手机EEPW
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司
备案 京ICP备12027778号-2 北京市公安局备案:1101082052    京公网安备11010802012473