首页  资讯  商机   下载  拆解   高校  招聘   杂志  会展  EETV  百科   问答  电路图  工程师手册   Datasheet  100例   活动中心  E周刊阅读   样片申请
EEPW首页 >> 主题列表 >> fpga-to-asic

fpga-to-asic 文章 进入fpga-to-asic技术社区

实验16:扭环形计数器

  • 实验目的(1)熟悉和掌握FPGA开发流程和Lattice Diamond软件使用方法;(2)通过实验理解和掌握扭环形计数器原理;(3)学习用Verilog HDL行为级描述时序逻辑电路。实验任务设计一个右移扭环形计数器。实验原理将移位寄存器的输出非q0连接到触发器q3的输入,这样就构成了一个扭环形计数器。初始化复位时,给q0一个初值0000,则在循环过程中依次为:000010001100111011110111001100010000。Verilog HDL建模描述用行为级描述右移扭环形计数器程序清单tw
  • 关键字: 扭环形计数器  FPGA  Lattice Diamond  Verilog HDL  

我国研制出首颗支持片上学习忆阻器存算一体芯片

  • 近期,清华大学集成电路学院团队研制出全球首颗全系统集成的、支持高效片上学习的忆阻器存算一体芯片。据清华大学官微消息,近期,清华大学集成电路学院教授吴华强、副教授高滨团队基于存算一体计算范式,研制出全球首颗全系统集成的、支持高效片上学习的忆阻器存算一体芯片,在支持片上学习的忆阻器存算一体芯片领域取得重大突破,有望促进人工智能、自动驾驶可穿戴设备等领域发展。相关成果发表于最新一期的《科学》(Science)。相同任务下,该芯片实现片上学习的能耗仅为先进工艺下专用集成电路(ASIC)系统的1/35,同时有望实现
  • 关键字: 清华大学  ASIC  存算一体  

用FPGA构建边缘AI推理应用很难?这样做,变简单!

  • 对于希望在边缘的推理处理器上实施人工智能 (AI) 算法的设计人员来说,他们正不断面临着降低功耗并缩短开发时间的压力,即使在处理需求不断增加的情况下也是如此。现场可编程门阵列 (FPGA) 为实施边缘AI所需的神经网络 (NN) 推理引擎提供了特别有效的速度和效率效率组合。然而,对于不熟悉 FPGA 的开发人员来说,传统FPGA的开发方法可能相当复杂,往往导致他们去选择不太理想的解决方案。本文将介绍来自Microchip Technology的一种比较简单的方法。通过这种方法,开发人员可以使用FPGA和软
  • 关键字: DigiKey  FPGA  边缘AI  

实验15:环形计数器

  • 实验目的(1)熟悉和掌握FPGA开发流程和Lattice Diamond软件使用方法;(2)通过实验理解和掌握环形计数器原理;(3)学习用Verilog HDL行为级描述时序逻辑电路。实验任务设计一个4位右循环一个1的环形计数器。实验原理将移位寄存器的输出q0连接到触发器q3的输入,并且在这4个触发器中只有一个输出为1,另外3个为0,这样就构成了一个环形计数器。初始化复位时,给q0一个置位信号,则唯一的1将在环形计数器中循环移位,每4个时钟同期输出一个高电平脉冲。Verilog HDL建模描述用行为级描述
  • 关键字: 环形计数器  FPGA  Lattice Diamond  Verilog HDL  

实验14:移位寄存器

  • 实验目的(1)熟悉和掌握FPGA开发流程和Lattice Diamond软件使用方法;(2)通过实验理解和掌握移位寄存器原理;(3)学习用Verilog HDL行为级描述时序逻辑电路。实验任务本实验的任务是设计一个7位右移并行输入、串行输出的移位寄存器。实验原理如果将多个触发器级联就构成一个多位的移位寄存器,如下图所示,是以4位移位寄存器为例的逻辑电路图,其中的LD/SHIFT是一个置数/移位控制信号。当LD/SHIFT为1时,在CP作用下,从输入端A、B、C、D并行接收数据;当LD/SHIFT为0时,在
  • 关键字: 移位寄存器  FPGA  Lattice Diamond  Verilog HDL  

实验13:JK触发器

  • 实验目的(1)熟悉和掌握FPGA开发流程和Lattice Diamond软件使用方法;(2)通过实验理解和掌握JK触发器原理;(3)学习用Verilog HDL语言行为机描述方法描述JK触发器电路。实验任务本实验的任务是设计一个JK触发器实验原理带使能端RS锁存器的输入端R=S=1时,锁存器的次态不确定,这一因素限制了其应用。为了解决这个问题,根据双稳态元件两个输出端互补的特点,用Q和非Q反馈控制输入信号,并用J代替S,用K代替R,构成了J-K锁存器。Verilog HDL建模描述用行为级描述实现的带异步
  • 关键字: JK触发器  FPGA  Lattice Diamond  Verilog HDL  

实验12:边沿触发的D触发器

  • 实验目的(1)熟悉和掌握FPGA开发流程和Lattice Diamond软件使用方法;(2)通过实验理解和掌握D触发器原理;(3)学习用Verilog HDL语言行为机描述方法描述D触发器电路。实验任务本实验的任务是描述一个带有边沿触发的同步D触发器电路,并通过STEP FPGA开发板的12MHz晶振作为触发器时钟信号clk,拨码开关的状态作为触发器输入信号d,触发器的输出信号q和~q,用来分别驱动开发板上的LED,在clk上升沿的驱动下,当拨码开关状态变化时LED状态发生相应变化。实验原理从D触发器的特
  • 关键字: D触发器  FPGA  Lattice Diamond  Verilog HDL  

实验11:RS触发器

  • 实验目的(1)熟悉和掌握FPGA开发流程和Lattice Diamond软件使用方法;(2)通过实验理解和掌握RS触发器原理;(3)学习用Verilog HDL语言行为级描述方法描述RS触发器电路。实验任务本实验的任务是描述一个RS触发器电路,并通过STEP FPGA开发板的12MHz晶振作为触发器时钟信号clk,拨码开关的状态作为触发器输入信号S,R,触发器的输出信号Q和非Q,用来分别驱动开发板上的LED,在clk上升沿的驱动下,当拨码开关状态变化时LED状态发生相应变化。实验原理基本RS触发器可以由两
  • 关键字: RS触发器  FPGA  Lattice Diamond  Verilog HDL  

实验10:七段数码管

  • 1. 实验目的(1)熟悉和掌握FPGA开发流程和Lattice Diamond软件使用方法;(2)通过实验理解和掌握数码管驱动;(3)学习用Verilog HDL描述数码管驱动电路。2. 实验任务在数码管上显示数字。3. 实验原理数码管是工程设计中使用很广的一种显示输出器件。一个7段数码管(如果包括右下的小点可以认为是8段)分别由a、b、c、d、e、f、g位段和表示小数点的dp位段组成。实际是由8个LED灯组成的,控制每个LED的点亮或熄灭实现数字显示。通常数码管分为共阳极数码管和共阴极数码管,结构如下图
  • 关键字: 七段数码管  FPGA  Lattice Diamond  Verilog HDL  

Microchip FPGA采用量身定制的PolarFire FPGA和SoC解决方案协议栈

  • 为智能边缘设计系统正面临前所未有的困难。市场窗口在缩小,新设计的成本和风险在上升,温度限制和可靠性成为双重优先事项,而对全生命周期安全性的需求也在不断增长。要满足这些同时出现的需求,需要即时掌握特殊技术和垂直市场的专业知识。没有时间从头开始。Microchip Technology Inc.(美国微芯科技公司)今日宣布在其不断增长的中端FPGA和片上系统(SoC)支持系列产品中增加了九个新的技术和特定应用解决方案协议栈,涵盖工业边缘、智能嵌入式视觉和边缘通信。Microchip FPGA业务部战略副总裁S
  • 关键字: Microchip  FPGA  PolarFire  协议栈  

英特尔计划将可编程解决方案事业部作为独立业务运营

  • 英特尔公司宣布计划拆分旗下的可编程解决方案事业部(PSG),将其作为独立业务运营。这一决定将赋予PSG所需的自主性和灵活性,以全面加速其发展,并更有力地参与FPGA行业的竞争,并广泛服务于包括数据中心、通信、工业、汽车和航空航天等领域在内的多个市场。英特尔还宣布,英特尔执行副总裁Sandra Rivera将担任PSG部门的首席执行官,同时Shannon Poulin将担任首席运营官。在英特尔的持续支持下,PSG部门的独立运营预计将于2024年1月1日开始。英特尔预计在发布2024年第一季度财报时,将PSG
  • 关键字: 英特尔  PSG  FPGA  

AMD Kria K24 SOM加速工业及商业电机控制应用创新

  • 电机控制系统无处不在,据统计电机控制消耗了全球工业能源总用量的70%。随着电机系统变得更加精密复杂,提供各种速度能力,并且越来越多采用新材料设计,包括碳化硅和氮化镓来提升效率与性能,同时还能够降低能耗。新的现代电机需要先进的电机驱动系统来控制这些电机,这样才能使其扭矩、速度以及应变速达到最大,同时还能使能耗降到最低。电机驱动系统主要是有三个要素,第一是驱动器,第二是供电部分,第三是电机本身。因此专家也表示,提高电机的效率将对全球用电量产生显著的积极影响。提高这些应用的效率够使能耗降低15%到40%。所以,
  • 关键字: AMD  Kria K24  SOM  电机控制  FPGA  

英特尔宣布分拆FPGA业务,目标2-3年后独立IPO!

  • 英特尔今天通过官网正式宣布,将负责开发英特尔的 Agilex、Stratix 和其他 FPGA 产品的可编程解决方案部门(PSG)剥离,作为独立业务运营,目标是在两到三年后 IPO中出售部分业务。英特尔宣布将PSG独立,并推向IPO2015年5月底,英特尔宣布以167亿美元完成了对Altera的收购,成为了其后来的PSG部门,这也是英特尔史上规模最大的一笔收购。Altera在20年前发明了世界上第一个可编程逻辑器件,尤以FPGA芯片著称。随后在2020年,英特尔的竞争对手AMD也宣布以350亿美元的估值收
  • 关键字: 英特尔  FPGA  Altera  

AMD推出为超低时延电子交易专属打造的基于FPGA的加速卡

  • 解决方案合作伙伴Alpha Data、Exegy和Hypertec加入到不断壮大的面向金融科技市场的超低时延解决方案生态系统
  • 关键字: AMD  超低时延电子交易  FPGA  加速卡  

英特尔倚重ASIC 台厂有望受惠

  • 英特尔技术长Greg Lavender揭示,英特尔正在开发一款ASIC(客制化应用芯片)加速器,用于降低全同态加密(Fully Homomorphic Encryption,FHE)相关的效能负担。显示ASIC重要性不言可喻,三家ASIC厂创意、智原、世芯-KY,虽专精方向不一,但在AI世代中同样受国际大厂倚赖。法人指出,美国超大规模云端服务商(hyperscale)愈来愈积极投入客制化AI芯片。其中,世芯就有参与特斯拉Dojo 1部分设计,创意则帮助微软Athena自研芯片;智原IP与ASIC设计服务客
  • 关键字: 英特尔  ASIC  
共6724条 10/449 |‹ « 8 9 10 11 12 13 14 15 16 17 » ›|

fpga-to-asic介绍

您好,目前还没有人创建词条fpga-to-asic!
欢迎您创建该词条,阐述对fpga-to-asic的理解,并与今后在此搜索fpga-to-asic的朋友们分享。    创建词条

热门主题

FPGA-to-ASIC    树莓派    linux   
关于我们 - 广告服务 - 企业会员服务 - 网站地图 - 联系我们 - 征稿 - 友情链接 - 手机EEPW
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司
备案 京ICP备12027778号-2 北京市公安局备案:1101082052    京公网安备11010802012473