首页  资讯  商机   下载  拆解   高校  招聘   杂志  会展  EETV  百科   问答  电路图  工程师手册   Datasheet  100例   活动中心  E周刊阅读   样片申请
EEPW首页 >> 主题列表 >> cpld-pci接口

cpld-pci接口 文章 进入cpld-pci接口技术社区

利用异步采样电路提高SRAM工艺FPGA的设计安全性

  • 随着FPGA的容量、性能以及可靠性的提高及其在消费电子、汽车电子等领域的大规模应用,FPGA设计的安全性问题越来越引起人们的关注。相比其他工艺FPGA而言,处于主流地位的SRAM工艺FPGA有一些优势,但是由于SRAM的易失
  • 关键字: SRAM工艺  CPLD  异步采样电路  

使用 CPLD 实现 QWERTY 键盘

  • 随着手机及其他便携手持装置的功能不断增加,设计的取舍平衡亦日趋精细。文本信息与网络浏览等流行功能都要求更多的数据输入,而这对于传统的双音多频 ( DTMF) (0-9, #, *) 键盘会比较困难。使用这种键盘要求多端数
  • 关键字: CPLD  QWERTY 键盘  便携手持装置  

CPLD实现GPIB控制器的设计

  • 作者:魏金成 牟涛1. 引言:1.1用 CPLD 实现 GPIB 控制芯片的意义综观现今市场上的测试仪器,不难发现 GPIB总线有重要的作用,在研制台式测试仪器的时候,客户几乎均要求具备 GPIB接口。可是在实际研发过程中,却发
  • 关键字: GPIB  CPLD  三线挂钩  三态总线  VHDL  

FPGA与CPLD的概念及其区别

  • 一、FPGA与CPLD的基本概念1.CPLDCPLD主要是由可编程逻辑宏单元(LMC,Logic Macro Cell)围绕中心的可编程互连矩阵单元组成,其中LMC逻辑结构较复杂,并具有复杂的I/O单元互连结构,可由用户根据需要生成特定的电路结
  • 关键字: FPGA    CPLD  

CPLD DDS正交信号源滤波器

  • 桂林电子科技大学 韩剑 李德明 冯雪1 引言由于传统的多波形函数信号发生器需采用大量分离元件才能实现,且设计复杂,这里提出一种基于CPLD的多波形函数信号发生器。它采用CPLD作为函数信号发生器的处理器,以单片机和
  • 关键字: CPLD  DDS  单片机  

CPLD电梯运行控制器VHDL

  • 西安航空职业技术学院 李军法1 引言随着社会的发展。使用电梯越来越普遍,已从原来只在商业大厦、宾馆过渡到在办公室、居民楼等多种建筑中,并且对电梯功能的要求也不断提高,其相应控制方式也在不断发生变化。电梯的
  • 关键字: CPLD  电梯  运行控制器  VHDL  

基于脉冲信号源的CPLD方法实现

  • 单片机产生的脉冲信号源由于是靠软件实现的,所以输出频率及步进受单片机时钟频率、指令数和指令执行周期的限制。文中介绍了一种以CPLD为核心的脉冲信号源,脉冲信号源的参数(频率、占空比)由工控机通过I/O板卡设置,
  • 关键字: 脉冲信号源  CPLD  单片机  

基于CPLD的CCD信号发生器的研究

  • 1、引言CCD (Charge Coupled Devices)电荷藕合器件是20世纪70年代初发展起来的新型半导体器件。目前CCD作为光电传感器由于其具有体积小、重量轻、功耗小、工作电压低和抗烧毁 等优点以及在分辨率、动态范围、灵敏度
  • 关键字: CPLD  CCD  信号发生器  

DSP与CPLD的输电线路局部气象监测装置设计

  • 1 概 述输电线路的状态直接决定着整个电网的安全稳定运行,输电线路微气象参数的实时监测能够为电网正常调度、以及自然灾害预测和控制提供必要的现场信息。输电线路是电力系统的关键元件之一。为了安全、稳定地运行,
  • 关键字: DSP  CPLD  输电线路  气象监测  

基于CPLD器件在时间统一系统中的应用

  • 引言随着电子技术的发展,对遥测信号的帧结构的可编程度、集成度的要求越来越高,用于时间统一系统的B码源的设计也趋于高度集成化。为了适应现代靶场试验任务的要求,我们采用Altera的CPLD器件,将用于产生B码的各种
  • 关键字: CPLD  时间统一系统  IRIG-B码  

基于嵌入式系统中DRAM控制器的CPLD解决方案

  • 80C186XL16位嵌入式微处理器是Intel公司在嵌入式微处理器市场的上导产品之一,已广泛应用于电脑终端、程控交换和工控等领域。在该嵌入式微处理器片内,集成有DRAM RCU单元,即DRAM刷新控制单元。RCU单元可以自动产生
  • 关键字: 嵌入式系统  DRAM控制器  CPLD  

FPGA与CPLD的辨别和分类

  • FPGA与CPLD的辨别和分类主要是根据其结构特点和工作原理。通常的分类方法是:将以乘积项结构方式构成逻辑行为的器件称为CPLD,如Lattice的ispLSI系列、Xilinx的XC9500系列、Altera的MAX7000S系列和Lattice(原Vantis)
  • 关键字: FPGA  CPLD  辨识  

基于CPLD/FPGA的VHDL语言电路优化设计

  • 杜志传,郑建立(上海理工大学 医疗器械与食品学院 上海 200093)0 引 言VHDL(Very High Speed Integrated Circuit Hardware Description Language)是IEEE工业标准硬件描述语言,是随着可编程逻辑器件(PLD)的发展而发
  • 关键字: VHDL  CPLD/FPGA  电路设计  优化  

用于VHDL的DRAM控制器设计

  • 80C186XL16位嵌入式微处理器是Intel公司在嵌入式微处理器市场的上导产品之一,已广泛应用于电脑终端、程控交换和工控等领域。在该嵌入式微处理器片内,集成有DRAM RCU单元,即DRAM刷新控制单元。RCU单元可以自动产
  • 关键字: 80C186XL    CPLD    DRAM控制器    VHDL  

PLD将凭借高效低耗挑战消费电子领域

  • 可编程逻辑器件(PLD)的两种主要类型是现场可编程门阵列(FPGA)和复杂可编程逻辑器件(CPLD)。根据半导体行业协会提供的数据,PLD现在是半导体行业中增长最快的领域之一,高性能PLD现在已经从采用最先进的标准单元技术制
  • 关键字: PLD  FPGA  CPLD  
共788条 8/53 |‹ « 6 7 8 9 10 11 12 13 14 15 » ›|
关于我们 - 广告服务 - 企业会员服务 - 网站地图 - 联系我们 - 征稿 - 友情链接 - 手机EEPW
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司
备案 京ICP备12027778号-2 北京市公安局备案:1101082052    京公网安备11010802012473