首页  资讯  商机   下载  拆解   高校  招聘   杂志  会展  EETV  百科   问答  电路图  工程师手册   Datasheet  100例   活动中心  E周刊阅读   样片申请
EEPW首页 >> 主题列表 >> cpld-jtag接口

cpld-jtag接口 文章 进入cpld-jtag接口技术社区

基于DSP及CPLD的掘进机控制系统设计

  • 提出了一种基于DSP及CPLD的掘进机控制系统设计方案,介绍了系统总体设计、CPLD数据采集模块及CPLD逻辑控制模块的设计。该系统采用CPLD实现数据采集,在AD采样环节节省DSP等待时间12μs,25路模拟信号每个采样周期节省300μs;采用CPLD代替标准逻辑器件实现各种逻辑功能,简化了硬件电路的设计,提高了控制系统集成度。实际应用表明,该系统能够满足掘进机正常生产的要求,具有较强的实时性和较高的可靠性。
  • 关键字: 掘进机控制系统  AD采样  CPLD  

CPLD在爆速仪技术中的应用

  • 爆速仪是一种用来测量火药爆炸速度的仪器,其性能的优劣及稳定性对测速的结果将有直接影响。传统爆速仪的前端计数电路一般都是采用分立元器件实现,结构拥挤,且保密性不高。为了在满足爆速仪设计的微型化的同时满足较高时钟要求,在爆速仪的前端计数模块和自检电路部分的设计中利用CPLD器件代替传统的分立元器件电路,并利用Qu-artusⅡ软件对设计进行仿真。
  • 关键字: 爆速仪  计数器  CPLD  

基于CPLD的电池供电系统断电电路的设计

  • 今天,大多数的CPLD(复杂可编程逻辑器件)都采用可减少功耗的工作模式,但当系统未使用时,应完全切断电源以保存电池能量,从而实现很多设计者的终极节能目标。描述了如何在一片CPLD 上增加几只分立元件,实现一个节省电池能量的系统断电电路。
  • 关键字: 按键开关矩阵  系统断电电路  CPLD  

基于CPLD的高效多串口中断方案

  • 在嵌入式系统中,花费大量的中断源来扩展串口无疑是大量的资源浪费。针对这种情况,为了节省紧张的系统资源,本文提出一种实现高效多串口中断方案,可以利用单一的中断源来管理多个扩展串口,并保证多个串口中断的无漏检测与服务。
  • 关键字: 多串口中断源  电平转换  CPLD  

基于CPLD的八段数码显示管驱动电路设计

  • 时钟脉冲计数器的输出经过3 线—8 线译码器译码其输出信号接到八位数码管的阴极Vss0、Vss1、Vss2、Vss3、Vss4、Vss5、Vss6、Vss7 端。要显示的数据信息A~H中哪一个,通过八选一数据选择器的地址码来选择,选择出的数据信息经七段译码器译码接数码管的a~g 管脚。这样八个数码管就可以轮流显示八个数字,如果时钟脉冲频率合适,可实现八个数码管同时被点亮的视觉效果。
  • 关键字: 八位数码管  共阴极  CPLD  

基于DSP/CPLD的嵌入式仪表硬件平台

  • 文所要设计的是一种脱机型仪表硬件平台。平台应可以满足一般的数据采集的实时性要求,可以灵活的适用于多种不同的应用场合,可实现多种类型信号的采集和处理,结构小巧紧凑,便于现场处理,还能与PC机或其他设备进行通信和交换数据。对此,我们构建了基于DSP和CPLD技术的硬件平台。
  • 关键字: 图像采集  仪表硬件平台  CPLD  

基于CPLD的SDRAM控制器的设计

  • SDRAM的读写逻辑复杂,最高时钟频率达100 MHz以上,普通单片机无法实现复杂的SDRAM控制操作,复杂可编程逻辑器件CPLD具有编程方便,集成度高,速度快,价格低等优点。因此选用CPLD设计SDRAM接口控制模块,简化主机对SDRAM的读写控制。通过设计基于CPLD的SDRAM控制器接口,可以在STM系列、ARM系列、STC系列等单片机和DSP等微处理器的外部连接SDRAM,增加系统的存储空间。
  • 关键字: 刷新时序  CPLD  SDRAM  

基于Verilog HDL的RS-232串口通信在CPLD上的实现

  • 为了实现PC机与CPLD的通信,进行了相应的研究。分析了RS-232C通信协议,自定义了数据包传输格式。根据UART模块工作状态多的特点,应用了有限状态机理论进行编程实现。为降低误码率,应用16倍频技术,实现了波特率为9 600 bit/s的串口通信。在Quartus II平台上用VerilogHDL进行编程,并通过了VC编写程序的数据传输的验证。研究成果为工程上PC机与嵌入式系统数据传输的问题提供了一种解决方法。
  • 关键字: 有限状态机  数据包  CPLD  

基于CPLD的片内振荡器设计及其优化

  • 本文介绍一种通用的基于CPLD的片内振荡器设计方法,它基于环形振荡器原理,只占用片上普通逻辑资源(LE),无需使用专用逻辑资源(如MaxII中的UFM),从而提高了芯片的资源利用率。
  • 关键字: 片内振荡器  SoC  CPLD  

基于CPLD的数字延迟线设计

  • 如果仅用一个延迟模块就能同时完成脉冲前后沿的延迟,这样就即节省了电路制作成本又提高了延迟线的延迟精度。本文正是基于这一思想并使用CPLD芯片来实现数字延迟线的设计的。
  • 关键字: 数字延迟线  延迟误差  CPLD  

基于CPLD的CMI编码的实现

  • 本文针对光纤通信传输码型的要求和CMI码的编码原理,介绍了一种以EPM系列7064芯片为硬件平台,以Max+PlusⅡ为软件平台,以VHDL为开发工具,适合于CPLD实现的CMI编码器的设计方案。
  • 关键字: CMI编码  光纤通信  CPLD  

基于CPLD的GPIB控制器

  • GPIB控制器芯片是组建自动测试系统的核心,在测试领域应用广泛。本文拟讨论用ALTERA公司的低成本 CPLD 来实现 GPIB 控制器的功能。GPIB 控制器芯片的硬件设计主 要分为状态机的实现、数据通道和微处理接口的设计。本文重点介绍了各个模块的实现原理。
  • 关键字: GPIB控制器  自动测试系统  CPLD  

利用P89C669的23b的线性地址并采用CPLD外部扩展

  • 如果能充分利用P89C669的丰富的线性地址资源,将能大大增强系统能力。在一个嵌入式系统开发中,笔者采用ALTERA公司的CPLD芯片EPM7032利用这款单片机的线性地址扩展了丰富的外部设备资源。
  • 关键字: 线性地址  存储器扩展  CPLD  

基于CPLD的雷达仿真信号的设计

  • 雷达信号的仿真是测试系统中必不可少的。但采用函数/任意波发生器组成测试系统,不仅增加系统成本,而且还给系统软件设计增加不必要的负担。为此,提出了一种基于CPLD的雷达仿真信号的实现方案,它能为机载雷达测试系统提供所需的多种典型的重频脉冲及制导信号。
  • 关键字: 雷达信号  任意波发生器  CPLD  

基于单片机及CPLD的B超VGA检测工装设计

  • 由于B超中为了增强图像分辨率,通道都比较多,大多是16、24、48、64甚至更多通道。这些通道电子元器件完全一样,要求各通道的一致性要好,在装整机前,最好有测试手段和方法,对所有通道能进行测试,以去除器件本身和焊接电路板中出现的问题,基于此目的,本人设计了B超检测工装。
  • 关键字: B超检测工装  图像分辨率  CPLD  
共781条 5/53 |‹ « 3 4 5 6 7 8 9 10 11 12 » ›|
关于我们 - 广告服务 - 企业会员服务 - 网站地图 - 联系我们 - 征稿 - 友情链接 - 手机EEPW
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司
备案 京ICP备12027778号-2 北京市公安局备案:1101082052    京公网安备11010802012473