首页  资讯  商机   下载  拆解   高校  招聘   杂志  会展  EETV  百科   问答  电路图  工程师手册   Datasheet  100例   活动中心  E周刊阅读   样片申请
EEPW首页 >> 主题列表 >> cpld/ppga

cpld/ppga 文章 进入cpld/ppga技术社区

基于现场可编程门阵列(FPGA)技术的射频读卡器设计

  • 电子产品世界,为电子工程师提供全面的电子产品信息和行业解决方案,是电子工程师的技术中心和交流中心,是电子产品的市场中心,EEPW 20年的品牌历史,是电子工程师的网络家园
  • 关键字: XPS  FPGA  DAC  Xilinx  GSRD  RFID  CPLD  ASK  CRC  UID  

采用灵活的汽车FPGA来提高片上系统级集成和降低物料成本

  • 汽车制造商们坚持不懈地改进车内舒适性、安全性、便利性、工作效能和娱乐性,反过来,这些努力又推动了各种车内数字技术的应用。然而,汽车业较长的开发周期却很难跟上最新技术的发展,尤其是一直处于不断变化中的车内联网规范,以及那些来自消费市场的快速兴起和消失的技术,从而造成了较高的工程设计成本和大量过时。向这些组合因素中增加低成本目标、扩展温度范围、高可靠性与质量目标和有限的物理板空间,以及汽车设计中存在的挑战,最多使人进一步感到沮丧。可编程逻辑器件 (PLD),如现场可编程门阵列 (FPGA)
  • 关键字: CPLD  FPGA  单片机  汽车电子  嵌入式系统  汽车电子  

基于IP核的FPGA设计方法

  • 前 言 几年前设计专用集成电路(ASIC) 还是少数集成电路设计工程师的事, 随着硅的集成度不断提高,百万门的ASIC 已不难实现, 系统制造公司的设计人员正越来越多地采用ASIC 技术集成系统级功能(System L evel In tegrete - SL I) , 或称片上系统(System on a ch ip ) , 但ASIC 设计能力跟不上制造能力的矛盾也日益突出。现在设计人员已不必全部用逻辑门去设计ASIC, 类似于用集成电路( IC) 芯片在印制板上的设计,ASIC 设计人员可以应用等
  • 关键字: ASIC  CPLD  FPGA  IP  单片机  嵌入式系统  

PDH通信二次群复接器在CPLD中的实现

  • 1 引 言 数字复接就是把两个或两个以上的支路数字信号按时分复接方式合并成单一的合路数字信号。按照各低次群时钟的情况,复接有3种方式:如果各输入支路数字信号相互同步,且与本机定时信号也同步,那么调整单元只需调整相位,这就是同步复接;如果输入支路数字信号不同步且与本机定时信号也异步,那么调整单元就要对各支路信号进行频率和相位的调整,使之成为同步信号,这就是异步复接;如果输入支路数字信号的生效瞬间相对于本机对应的定时信号是以同一标称速度出现,而速度的任何变化都限制在规定的容差范围内,这种就是准同步(PDH
  • 关键字: CPLD  PDH  电源技术  模拟技术  

CPLD在三相PFC矩阵变换器中的应用

  • 1 引言 随着电子技术的不断发展,在通讯、控制工程中应运而生的各种硬件平台在功率电子领域中显示出了独有的特色,例如:MCU,DSP和复杂可编程逻辑器(Complex Programmable Logic Device。简称CPLD)等集成度很高的数字芯片就是以其精度高,温度漂移小,升级换代简便,长期工作不老化等特点,而广泛用于功率变换器中,且大有取代传统模拟控制芯片的势头。CPLD的多个通道可以并行工作的这一特点,使得控制三相功率因数校正(PFC)矩阵变换器的6只双向开关同步、协调地工作。在此,介绍的
  • 关键字: CPLD  电源技术  矩阵变换器  模拟技术  三相PFC  

基于DSP和CPLD的低功耗多路数据处理系统设计

  • 引言 随着电子技术的应用和发展,数字信号处理内容日益复杂,同时,很多情况下要求整个系统具有低功耗的特点。为满足这种要求,DSP芯片设计技术也在向低功耗、高性能的方向发展。从处理速度来看,TMS320VC5502的运算能力已经达到了600MMACS,即每秒钟可以完成6亿次乘加运算。从功耗来看,TMS320VC5502内核电压只有1.26V,整个芯片的功耗也大大降低了。本文介绍了基于TMS320VC5502和CPLD XC95144的低功耗多路数据处理系统。 模拟信号的输入经过50Hz陷波电路(滤除工频
  • 关键字: CPLD  DSP  单片机  多路数据处理  嵌入式系统  

MCS-51单片机与CPLD/FPGA接口逻辑设计

  • 在功能上,单片机与大规模CPLD有很强的互补性。单片机具有性能价格比高、功能灵活、易于人机对话、良好的数据处理能力潍点;CPLD/FPGA则具有高速、高可靠以及开发便捷、规范等优点。以此两类器件相结合的电路结构在许多高性能仪器仪表和电子产品中仍将被广泛应用。本文就单片机与CPLD/FPGA的接口方式作一简单介绍,希望对从事单片机和CPLD/FPGA研发的朋友能有所启发。     单片机与CPLD/FPGA的接口方式一般有两种,即总线方式与独立方式,分别说明
  • 关键字: CPLD/FPGA  MCS-51  单片机  逻辑设计  嵌入式系统  

在CPLD管理下实现高效多串口中断源

  • 近几年来,随着后PC时代的来临,具有简洁、高效等特点的嵌入式系统得到了飞速的发展。嵌入式技术发展到今天已将各种计算机技术多层次、多方面的交叉融合在了一起。嵌入式系统加快了工业设计进程,降低了开发成本及其风险,使用简便,扩展灵活,高效精简,可方便地应用于各工业领域。 中断请求采用边沿触发来进行中断检测,通过将信号送到特定的引线来检测中断。每条引线对应一个可能的硬件中断,因为系统不能辨认哪个设备使用中断线,所以当多个1个的设备被设置成使用同一个特定中断时就产生了混乱。中断产生时,由专用的中断程序接管系统,首先
  • 关键字: CPLD  RS232  串口  单片机  嵌入式系统  中断源  

基于梯形图-VHDL的CPLD开发方法研究

  • 本文通过对一个典型顺序控制电路梯形图的VHDL程序设计与时序仿真,表明梯形图-VHDL设计方法是正确可行的。
  • 关键字: VHDL  CPLD  梯形图  方法研究    

CPLD在水下冲击波记录仪中的应用

  • 1.引言 随着大规模集成电路和单片机的迅速发展,复杂可编程逻辑器件(CPLD)具有使用灵活、可靠性高、功能强大的优点,在电子产品设计中得到了广泛的应用。CPLD可实现在系统编程,重复多次,而且还兼容IEEE1149.1(JTAG)标准的测试激励端和边界扫描能力,使用CPLD器件进行开发,不仅可以提高系统的集成化程度、可靠性和可扩充性,而且大大缩短产品的设计周期。由于CPLD采用连续连接结构,易于预测延时,从而使电路仿真更加准确。CPLD是标准的大规模集成电路产品,可用于各种数字逻辑系统
  • 关键字: CPLD  测量  测试  冲击波  记录仪  

基于CCD的图像采集处理系统的研究

  • 基于CCD的图像采集处理系统的研究 济南山东大学信息科学与工程学院(250100) 黄素贞 尹立新 张国梁    摘 要:以CCD作为图像传感器,以CPLD作为图像采集系统的控制核心,以DSP作为基本图像处理单元,实现了图像自动采集处理系统,完成了图像的快速采集、存储及数据处理。不仅对系统的硬件设计和软件设计进行了讨论,而且对应用的算法也进行了简单的介绍。   关键词:CPLD CCD A/D DSP 图像处理   CCD是一种光电转换式图像传感器。它利用光电转换原理把图像信息直接转换成电信
  • 关键字: A/D  CCD  CPLD  DSP  图像处理  

蓄电池在线监测系统的设计与实现

  • 蓄电池在电力系统中是一种必备的后备电源且数量较多, 其使用寿命和安全可靠性倍受用户关注。但由于使用不当或者不能及时维护,经常会导致蓄电池组中个别蓄电池的过放电或者早期失效。过放电或者早期失效的个别蓄电池在后备电源投入使用时,会严重影响整个电池组的放电容量,甚至会导致整个供电系统的崩溃。因此,为保证在市电被切断时用电设备能够安全可靠运行,避免蓄电池在长期使用过程中因个别电池过放电或者失效而引发事故带来经济损失,对蓄电池进行实时在线监测和及时的故障诊断成为蓄电池维护工作的一个极为重要 方面。本文介绍的基于ST
  • 关键字: CPLD  工业控制  监测系统  蓄电池  工业控制  

FPGA与CPLD的区别

  • 管FPGA和CPLD都是可编程ASIC器件,有很多共同特点,但由于CPLD和FPGA结构上的差异,具有各自的特点:①CPLD更适合完成各种算法和组合逻辑,FP GA更适合于完成时序逻辑。换句话说,FPGA更适合于触发器丰富的结构,而CPLD更适合于触发器有限而乘积项丰富的结构。②CPLD的连续式布线结构决定了它的时序延迟是均匀的和可预测的,而FPGA的分段式布线结构决定了其延迟的不可预测性。 ③在编程上FPGA比CPLD具有更大的灵活性。CPLD通过修改具有固定内连电路的逻辑功能来编程,FPGA主要通过
  • 关键字: CPLD  FPGA  

基于DSP和CPLD的低功耗多路数据处理系统设计

  • 引言 随着电子技术的应用和发展,数字信号处理内容日益复杂,同时,很多情况下要求整个系统具有低功耗的特点。为满足这种要求,DSP芯片设计技术也在向低功耗、高性能的方向发展。从处理速度来看,TMS320VC5502的运算能力已经达到了600MMACS,即每秒钟可以完成6亿次乘加运算。从功耗来看,TMS320VC5502内核电压只有1.26V,整个芯片的功耗也大大降低了。本文介绍了基于TMS320VC5502和CPLD XC95144的低功耗多路数据处理系统。 模拟信号的输入经过50Hz陷波电路(滤除工频
  • 关键字: ADS7805  CPLD  DSP  单片机  嵌入式系统  数据处理  

基于CPLD的位同步时钟提取电路设计

  • 引言 异步串行通信是现代电子系统中最常用的数据信息传输方式之一,一般情况下,为了能够正确地对异步串行数据进行发送和接收,就必须使其接收与发送的码元同步,位同步时钟信号不仅可用来对输入码元进行检测以保证收发同步,而且在对接收的数字码元进行各种处理等过程中,也可以为系统提供一个基准的同步时钟。 本文介绍的位同步时钟的提取方案,原理简单且同步速度较快。整个系统采用VerilogHDL语言编写,并可以在CPLD上实现。 位同步时钟的提取原理 本系统由一个跳变沿捕捉模块、一个状态寄存器和一个可控计数器共三
  • 关键字: CPLD  串行通信  电源技术  模拟技术  同步时钟  
共775条 49/52 |‹ « 43 44 45 46 47 48 49 50 51 52 »
关于我们 - 广告服务 - 企业会员服务 - 网站地图 - 联系我们 - 征稿 - 友情链接 - 手机EEPW
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司
备案 京ICP备12027778号-2 北京市公安局备案:1101082052    京公网安备11010802012473