首页  资讯  商机   下载  拆解   高校  招聘   杂志  会展  EETV  百科   问答  电路图  工程师手册   Datasheet  100例   活动中心  E周刊阅读   样片申请
EEPW首页 >> 主题列表 >> cpld/ppga

cpld/ppga 文章 进入cpld/ppga技术社区

CPLD开发板和FPGA开发板的区别

  •      市面上尤其是学校里面可以看到Xilinx公司或者Altera公司各种不同的开发板,其实只有两个大类,CPLD开发板和FPGA开发板。尽管FPGA和CPLD都是可编程ASIC器件,有很多共同特点,但由于CPLD和FPGA结构上的差异,具有各自的特点:       ① CPLD更适合完成各种组合逻辑,FP GA更适合于完成时序逻辑。换句话说,FPGA更适合于触发器丰富的结
  • 关键字: CPLD  FPGA  开发板  嵌入式系统  单片机  嵌入式  

CPLD通用写入器设计与开发

  • 在本文中,我们将通过对CPLD的发展、结构、应用和设计等方面的认知,了解CPLD的基本原理,并设计出CPLD脱机编程写入器的电路图。
  • 关键字: CPLD  写入器    

半导体业界领袖08新视点 低功耗是一种优势

  •   Altera公司产品和企业市场副总裁DannyBiran   低功耗是一种战略优势   在器件的新应用上,FPGA功耗和成本结构的改进起到了非常重要的作用。Altera针对低功耗,同时对体系结构和生产工艺进行改进,使我们的高端StratixIIIFPGA能够用于高性能计算领域,而低成本CycloneIIIFPGA用于软件无线电,MaxIIZCPLD则适合便携式应用。   在生产工艺方面,Altera在很大程度上受益于和TSMC的合作。这种紧密的合作关系使Altera能够在CycloneIII中充
  • 关键字: 处理器  FPGA  CPLD  嵌入式  

基于AVR的VGA显示和激光打印系统

  • 摘要:用ATMEGA128单片机结合CPLD实现了对VGA显示器和激光打印机的控制,完成了在VGA显示器上实时显示字符和图形的功能,并控制激光打印机实现了屏幕信息的打印输出。本设计克服了单片机系统显示和打印功能薄弱的缺点,为扩大其应用范围奠定了基础,同时也为其他嵌入式系统的信息输出提供了一种解决方案。 关键词:VGA控制器;PCL命令语言;激光打印;CPLD   随着集成电路制造技术的不断发展,MCU、ARM、DSP等微控制器、微处理器的性能急剧提高,但是输出功能,尤其是显示和打印功能仍然比较薄弱
  • 关键字: VGA控制器  PCL命令语言  激光打印  CPLD  MCU和嵌入式微处理器  

基于CPLD的电池管理系统双CAN控制器的设计

  •   电池管理系统是混合动力汽车中重要的电子控制单元,具有保障电池正常、可靠和高效工作的作用,是电池与用电设备之间的桥梁。在研制以及批量生产过程中都需要对其内部控制参数进行离线或在线匹配标定,而电池管理系统需要采集和处理大量的数据,本文选用TMS320LF2407作为标定用CAN控制器。作为电动汽车上的一个CAN节点,需要接收整车发来的CAN消息来执行对外部继电器、风扇以及电池等器件的控制命令,本文选用SJA1000。   双CAN硬件电路和CPLD逻辑设计   双CAN硬件电路设计   TMS320
  • 关键字: 嵌入式系统  单片机  CAN  CPLD  电池管理  MCU和嵌入式微处理器  

Altera新的MAX IIZ CPLD在便携式应用中实现了零功耗

  •   Altera公司宣布新的零功耗MAX® IIZ CPLD进一步扩展了其低功耗可编程逻辑解决方案产品组合,该器件是专门针对解决便携式应用市场的功耗、封装和价格限制而设计开发的。和相竞争的传统宏单元CPLD相比,MAX IIZ器件具有6倍的密度和3倍的I/O资源优势,以相同甚至更低的功耗满足了设计人员对各种功能的需求,同时大大降低了电路板面积。MAX IIZ器件为业界最流行的CPLD系列增加了零功耗和超小型封装型号,使手持式设备和其他便携式应用能够充分发挥CPLD的诸多优势——包括灵活性、产品快速
  • 关键字: 嵌入式系统  单片机  Altera  CPLD  MAX  MCU和嵌入式微处理器  

基于AD7892SQ和CPLD的数据采集系统的设计

  •   引 言   本系统以AD7892SQ和CPLD(复杂可编程逻辑器件)为核心设计了一个多路信号采集电路,包括模拟多路复用、集成放大、A/D转换,CPLD控制等。采用硬件描述语言Verilog HDL编程,通过采用CPLD使数据采集的实时性得到提高。   1 硬件设计   针对多路信号的采集,本系统采用4/8通道ADG508A模拟多路复用器对检测的信号进行选择,CMOS高速放大器LF156对选中的信号进行放大,AD7892SQ实现信号的A/D转换,CPLD完成控制功能。电路如图1所示。   
  • 关键字: 嵌入式系统  单片机  AD7892SQ  CPLD  数据采集  MCU和嵌入式微处理器  

异步通信起始位正确检测的VHDL实现

  •   摘要: 基于FPGA/CPLD的UART设计众多,本文分析了3倍频采样方法存在的不足,同时分析了16倍频采样对起始位检测的可靠性,并给出相关的VHDL硬件描述语言程序代码。   关健词: 异步数据;UART;FPGA/CPLD;VHDL   概述   随着电子设计自动化(EDA)技术的发展,可编程逻辑器件FPGA/CPLD已经在许多方面得到了广泛应用,而UART(通用异步收发器) 是在数字通信和控制系统中广泛使用的串行数据传输协议。因此越来越多用户根据自己的需要,以EDA技术作为开发手段,用一块
  • 关键字: 0711_A  杂志_设计天地  嵌入式系统  单片机  异步数据  UART  FPGA/CPLD  VHDL  MCU和嵌入式微处理器  

微机保护控制接口装置的CPLD抗干扰设计

  •   引 言   微机保护装置是指微机系统构成的数字式继电保护装置。在我国煤矿井下高压(6kV)供电系统中,绝大多数属于变压器中性点绝缘运行方式(三相三线制),通过动力电缆送电。煤矿井下环境恶劣,空间狭窄,动力电缆长期处在潮湿、淋水、腐蚀的环境中,散热条件差,绝缘性能易下降,经常发生单相漏电或单相接地故障。这种故障引起正常相电压升高,若不及时断电,会造成多相短路,迫使供电中断,并使电力故障进一步扩大。高压防爆开关微机保护装置是安装在高压防爆开关中,对井下电缆和用电设备的单相漏电或单相接地、短路、过流、绝缘
  • 关键字: 嵌入式系统  单片机  CPLD  CPU  数字滤波  

博物馆智能防盗保护器系统设计

  •   摘  要:本设计的目的是实现博物馆藏品防盗和保护的智能化。以微处理器为核心,利用美国国家半导体的彩色图像传感器LM9628和温度传感器LM19进行环境监控,并控制报警系统和空调系统以及进行图像处理。  关键词:图像传感器;温度传感器;AVR单片机;CPLD 引言    博物馆内的藏品常常受到人为盗窃的威胁,周围环境的变化也会对其造成破坏,因此需要极为可靠的防盗系统及完善的空调系统,本设计的目的就是实现对馆藏品的智能化防盗和保护。博物馆关闭时便可将此防盗保护器启
  • 关键字: 图像传感器  温度传感器  AVR单片机  CPLD  MCU和嵌入式微处理器  

基于DSP+CPLD的断路器智能控制单元设计

  • 本文介绍了基于新型高性能数字信号处理器(DSP)芯片TMS320F2812和复杂可编程逻辑器件(CPLD)MAX7128实现的断路器智能控制单元设计。重点叙述了调理电路、F2812通信模块、CPLD模块的设计。
  • 关键字: 单元  设计  智能控制  断路器  DSP  CPLD  基于  

基于EPM7128的光栅位移测量仪设计

  •   1 光栅位移传感器测量原理   将光源、两块长光栅(指示光栅和标尺光栅)、光电检测器件等组合在一起构成的光栅传感器通常称为光栅尺。当两块光栅以微小倾角重叠时,在与光栅刻线大致垂直的方向上就会产生莫尔条纹,在条纹移动的方向上放置光电探测器,可将光信号转换为电信号,这样就可以实现位移信号到电信号的转换。目前使用的光栅尺的输出信号主要有2类:一类是相位角相差   90
  • 关键字: 测试  测量  光栅位移  传感器  CPLD  测量工具  

基于MAX+plusⅡ开发平台的EDA设计方法

  •     MAX + plus Ⅱ是美国Altera 公司的一种EDA 软件,用于开发CPLD 和FPGA 进行数字系统的设计。用图形输入方式和文本输入方式设计了一模60计数器,介绍了数字系统设计的一般方法和过程,揭示了其在数字系统中的重要作用。        EDA ( Elect ronic Design 
  • 关键字: MAX+plusⅡ  开发平台  EDA  CPLD  FPGA  EDA  IC设计  

何谓SOPC

  • SOPC一词主要是源自Altera, 其涵义是因为目前CPLD/FPGA的容量愈來愈大, 性能愈來愈好, 加上价格下跌的推波助澜之下, 以往ASIC产品才能具有的 SoC观念, 也能移植到CPLD/FPGA上, 并且因为CPLD/FPGA的可编程(Programmable)能力, 使得CPLD/FPGA不仅能实现一个高复难度的系统, 而且还能快速改变系统的特性. 类似的观念也鉴于Xilinx的Platfor
  • 关键字: SOPC  CPLD  FPGA  SoC  ASIC  

CPLD在通信数据传输中的应用

  • 摘要:提出了一种利用CPLD有效解决通信数据传输问题的方案,详细分析了CPLD在系统中的作用、工作原理和设计方法,并给出仿真以及实测结果,结果证实了此种方案的可行性和优越性。 关键词:CPLD 双口RAM 数据传输 OMAP  AD9861 1 概述 随着大规模集成电路和单片机的迅速发展,复杂可编程逻辑器件(CPLD)具有使用灵活、可靠性高、功能强大的优点,在电子产品设计中得到了广泛的应用。CPLD可实现在系统编程,重复多次,而且还兼容IEEE1
  • 关键字: 通讯  无线  网络  嵌入式系统  单片机  CPLD  双口RAM  数据传输  OMAP  AD9861  
共775条 47/52 |‹ « 43 44 45 46 47 48 49 50 51 52 »
关于我们 - 广告服务 - 企业会员服务 - 网站地图 - 联系我们 - 征稿 - 友情链接 - 手机EEPW
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司
备案 京ICP备12027778号-2 北京市公安局备案:1101082052    京公网安备11010802012473