首页  资讯  商机   下载  拆解   高校  招聘   杂志  会展  EETV  百科   问答  电路图  工程师手册   Datasheet  100例   活动中心  E周刊阅读   样片申请
EEPW首页 >> 主题列表 >> cpld/ppga

cpld/ppga 文章 进入cpld/ppga技术社区

ATM流量控制器IP核的设计和实现

  •   0 引言   ATM异步传递方式是建立在电路交换和分组交换基础上的一种面向连接的快速分组交换技术,它采用定长分组作为传输和交换的单位,并具有端到端QOS保证、完善的流量控制和拥塞控制,以及较好的技术综合能力等优势,这些都是目前的IP技术所不及的。和传统的STM电路相比,ATM技术对数据交换中猝发分组的适应能力和传输线路的利用率都是很高的。虽然,由于灵活性和价格的原因,ATM技术没有获得预期的成功,但其流量控制机制对当前变长分组骨干网的流量控制还是具有重要的参考价值,所以有必要对ATM的流量控制及其实
  • 关键字: IP核  ATM  流量控制器  CPLD  FPGA  

数字拷贝机的FPGA设计

  •   光盘拷贝机通常由一台CD-ROM驱动器、数台CD-R或CD-RW刻录机和一个拷贝控制器组成。拷贝控制器首先从CD-ROM驱动器中读出源盘数据,然后将数据流分多路传输到各个刻录机,控制所有的刻录机同步刻录CD-R光盘。目前市场上的光盘拷贝机主要有联机拷贝机、脱机拷贝机和自动拷贝机三种类型。   (1)联机拷贝机   联机光盘拷贝机由一台通用PC机和一个装有SCSI接口刻录机的塔式机箱组成,塔箱与PC机之间用SCSI电缆相连。联机拷贝机使用PC机作为光盘拷贝机控制器,并利用专门的CD-R拷贝软件将刻录
  • 关键字: FPGA  数字拷贝机  CPLD  CPU  DMA  

基于ADSP-BF533和EPM7160实现的多路UART接口电路设计

  •   0 引言   目前,在数字信号处理技术中,DSP+CPLD是控制接口设计中比较常用的方式。然而,AD-SP-BF533虽有异步串口,但该芯片只有一个异步串口,当一个系统中出现多个UART接口时,ADSP-BF533就显得无能为力了。为此,本文采用CPLD来实现多路UART接口的设计,以满足ADSP-BF533与多路UART接口的通信。   1 ADSP-BF533简介   ADSP-BF533处理器是Blackfin系列产品中的一员。其最大工作频率可达600 MHz。Blackfin处理器内核包
  • 关键字: DSP  CPLD  UART  接口电路  处理器  DMA  

LPC2131基于CPLD的CAN接口设计

  •   引 言   Philips公司的LPC213l是基于ARM7TDMI-S的高性能32位RISC微控制器。它具有ARM处理器的所有优点——低功耗、高性能和较为丰富的片上资源,但LPC2131内部没有集成CAN控制器,而无法利用CAN总线来进行通信。为了使得LPC2131能够利用CAN总线进行通信,可以通过外部扩展来实现其功能。目前,比较普通的方法是在LPC2131的外部采用CAN控制器设计CAN总线接口。LPC2131与CAN控制器的接口电路如图1所示。   这种方法中,
  • 关键字: CPLD  CAN  ARM  处理器  EPM3128  

基于CPLD+MCU的新型光栅数显系统设计

  • 电子产品世界,为电子工程师提供全面的电子产品信息和行业解决方案,是电子工程师的技术中心和交流中心,是电子产品的市场中心,EEPW 20年的品牌历史,是电子工程师的网络家园
  • 关键字: 光栅  正交脉冲  掉电数据存储  CPLD  EPM240  STC89C516RD  

基于MCU+CPLD的新型光栅数显系统设计

  •   1 引言   光栅数显系统主要用于普通机床,可直接显示机床加工的长度值,有助于提高加工精度和效率。目前国内市场上的光栅数显系统大多采用国外集成电路实现,研发成本高,且不便于操作人员使用。针对这种状况,研发了基于MCU+CPLD的新型光栅数显系统。该系统具有计数精度高、成本低、操作方便以及升级快等特点,能够处理高达5 MHz/s的正交脉冲,并在掉电时有效存储当前长度值,其数码管可显示关键的长度值,点阵式液晶屏还可显示相关的提示信息。   2 系统工作原理   利用CPLD实现正交脉冲处理逻辑电路,
  • 关键字: MCU  CPLD  光栅数显  正交脉冲  

华禹公司在P1200便携式手持机中选用MAX IIZ CPLD

  •   Altera宣布,深圳市华禹高科技有限公司在其新的P1200便携式手持机中选用了MAX IIZ CPLD。之所以选用Altera MAX IIZ CPLD,是因为它不但可以迅速安全地实现新特性和功能,而且还具有最低的功耗和最小的电路板面积,该器件管理P1200便携式手持机中的多个接口,包括射频识别(RFID)读卡器、红外数据协会(IRDA)传感器、蓝牙接口以及LED控制端口等。该P1200便携式手持机已于5月1日正式在杭州公交自行车项目中使用,并将会在8月应用于北京奥运的身份识别,物流领域以及公交等小
  • 关键字: Altera  CPLD  华禹  手持机  

用单片机和CPLD实现步进电机的控制

  •   是一种将脉冲信号转换成角位移的伺服执行器件。其特点是结构简单、运行可靠、控制方便。尤其是步距值不受电压、温度的变化的影响、误差不会长期积累,这给实际的应用带来了很大的方便。它广泛用于消费类产品(打印机、照相机)、工业控制(数控机床、工业机器人)、医疗器械等机电产品中。   通常的步进电机控制方法是采用CPU(PC机、等)配合专用的步进电机驱动控制器来实现,这存在成本较高、各个环节搭配不便(不同类的电机必须要相应的驱动控制器与之配对)等问题。   器件具有速度快、功耗低、保密性好、程序设计灵活、抗干
  • 关键字: 脉冲信号  CPU  CPLD  电机  I/O  

基于I2C总线的大型开关矩阵设计与实现

  •   1 引言   自动测试设备在军事及工业领域的应用越来越广泛,然而在电路单元尤其是电路板测试中,由于被测单元种类多,被测通道数量大,传统的开关矩阵体积大、切换速度慢、电气性能差。已不能满足现代测试仪器高速、便携的要求。本文介绍了一种采用USB接口,利用I2C总线传输数据,由CPLD控制多路复用器件的大型开关矩阵结构,具有较高的切换速度及较好的电气性能,并满足了小型化的要求。   2 系统结构及功能   开关矩阵主要实现自动测试设备与被测电路单元之间的信息交换,功能如下:   (1)将程控电源系统
  • 关键字: I2C  开关矩阵  CPLD  USB  自动测试  

低频数字相位(频率)测量的CPLD实现

  •   在电子测量技术中,测频测相是最基本的测量之一。相位测量仪是电子领域的常用仪器,当前测频测相主要是运用等精度测频、PLL锁相环测相的方法。研究发现,等精度测频法具有在整个测频范围内保持恒定的高精度的特点,但是该原理不能用于测量相位。PLL锁相环测相可以实现等精度测相,但电路调试较复杂。因此,选择直接测相法作为低频测相仪的测试方法[1、2、3、4]。   设计的低频测相仪,满足以下的技术指标:a .频率20-20KHz;b .输入阻抗≥100KΩ;c.相位测量绝对误差≤1度; d
  • 关键字: CPLD  电子测量  相位测量  单片机  EDA  

基于CPLD的MIDI音乐播放器的设计

  • 摘要:本音乐播放器依据MIDI音乐基本原理,结合EDA技术,采用ALTERA公司的可编程逻辑器件(CPLD)EPF10LC84-4作为控制核心而设计的。本文主要阐述了利用VHDL语言设计MIDI音乐发生器芯片,再配上必要的外围电路,从而实现四首音乐选择播放、并配有随音乐节奏而闪烁变化的彩灯等功能的EDA应用系统。 关键字:EDA、CPLD、音乐播放器、VHDL语言 0  引言 大规模可编程逻辑器件CPLD和FPGA是当今应用最广泛的两类可编程逻辑器件,电子设计工程师利用它可以在办公室或实
  • 关键字: EDA  CPLD  音乐播放器  VHDL语言  

基于DSP的彩色TFT-LCD数字图像显示技术研究

  •   随着计算机技术的飞速发展,嵌入式图像系统广泛应用于办公设备、制造和流程设计、医疗、监控、卫生设备、交通运输、通信、金融银行系统和各种信息家电中。所谓嵌入式图像系统,指以图像应用为中心,以计算机技术为基础,软件、硬件可裁减,对功能、可靠性、成本、体积、功耗等严格要求的专用计算机系统。嵌入式图像系统对图像显示技术提出了各种严格要求,必须选择合适的显示器,设计出合理的显示控制方法。   系统硬件设计   本系统要构建一个嵌入式、高速、低功耗、低成本的图像显示硬件平台,要求能真彩显示静态或动态彩色图像。为
  • 关键字: DSP  TFT-LCD  数字图像  显示技术  嵌入式  CPLD  

用双端口RAM实现与PCI总线接口的数据通讯

  •   采用双端口RAM实现DSP与PCI总线芯片之间的数据交换接口电路。   提出了一种使用CPLD解决双端口RAM地址译码和PCI接口芯片局部总线仲裁的的硬件设计方案,并给出了PCI总线接口芯片寄存器配置实例,介绍了软件包WinDriver开发设备驱动程序的具体过程。   随着计算机技术的不断发展,为满足外设间以及外设与主机间的高速数据传输,Intel公司于1991年提出了PCI总线概念。PCI总线是一种能为主CPU及外设提供高性能数据通讯的总线,其局部总线在33MHz总线时钟、32位数据通路时,数据
  • 关键字: RAM  DSP  PCI总线  CPLD  数据通讯  

用CPLD实现基于PC104总线的429接口板

  •   PC104总线系统是一种新型的计算机测控平台,作为嵌入式PC的一种,在软件与硬件上与标准的台式PC(PC/AT)体系结构完全兼容,它具有如下优点:体积小、十分紧凑,并采用模块化结构,功耗低,总线易于扩充,紧固堆叠方式安装,适合于制作高密度、小体积、便携式测试设备,因此在军用航空设备上有着广泛的应用,但也正是PC104板的这种小尺寸结构、板上可用空间少给设计带来了一定的困难,所以本设计采用了复杂可编程器件CPLD,用CPLD完成了PC104总线与429总线通讯的主要电路,大大节省了硬件资源,本文着重介绍
  • 关键字: CPLD  接口板  PC104  总线  嵌入式  

FPGA竞争好像在演戏(上)

  •   若要问:半导体业哪个领域最有趣?我认为FPGA。作为记者,大家一提起FPGA公司就很兴奋,太充满活力了,有时过分得充满戏剧性。   当中国第一高楼——上海金茂大厦刚刚落成时,A公司在上海成立办事处,邀请记者从北京到上海观摩,下榻金茂。一周后,X公司也宣布已经成立上海办事处,也盛情邀请记者去那里看看,也同样入住金茂。             &nbs
  • 关键字: FPGA  半导体  ASIC  CPLD  
共775条 45/52 |‹ « 43 44 45 46 47 48 49 50 51 52 »
关于我们 - 广告服务 - 企业会员服务 - 网站地图 - 联系我们 - 征稿 - 友情链接 - 手机EEPW
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司
备案 京ICP备12027778号-2 北京市公安局备案:1101082052    京公网安备11010802012473