首页  资讯  商机   下载  拆解   高校  招聘   杂志  会展  EETV  百科   问答  电路图  工程师手册   Datasheet  100例   活动中心  E周刊阅读   样片申请
EEPW首页 >> 主题列表 >> cpld/ppga

cpld/ppga 文章 进入cpld/ppga技术社区

FPGA/CPLD中常见模块设计精华集锦(一)

  • 一、智能全数字锁相环的设计  1 引言  数字锁相环路已在数字通信、无线电电子学及电力系统自动化等领域中得到了极为广泛的应用。随着集成电路技术的发展,不仅能够制成频率较高的单片集成锁相环路,而且可以把整
  • 关键字: FPGA  CPLD  模块设计  集锦    

基于DSP和CPLD的低功耗多路数据处理系统设计方案

  • 电子产品世界,为电子工程师提供全面的电子产品信息和行业解决方案,是电子工程师的技术中心和交流中心,是电子产品的市场中心,EEPW 20年的品牌历史,是电子工程师的网络家园
  • 关键字: DSP  数据处理  CPLD  

基于单片机及CPLD的多间隔脉冲产生电路

  • 摘要:单片机具有逻辑控制功能灵活的特点,复杂可编程逻辑器件(CPLD)具有集成度高、可靠性好及工作速度快的优点,基于二者各自的优点,设计了一种脉宽固定为1 mu;s,周期可调的单头、双头、三头三路脉冲产生电路,该
  • 关键字: 产生  电路  脉冲  间隔  单片机  CPLD  基于  

基于CPLD的数字电路设计

  • 0 引 言

    可编程逻辑器件PLD(Programmable Logic De-vice)是一种数字电路,它可以由用户来进行编程和进行配置,利用它可以解决不同的逻辑设计问题。PLD由基本逻辑门电路、触发器以及内部连接电路构成,利用软件和硬
  • 关键字: CPLD  数字  电路设计    

基于CPLD的DSP多SPI端口通信设计

  • 电子产品世界,为电子工程师提供全面的电子产品信息和行业解决方案,是电子工程师的技术中心和交流中心,是电子产品的市场中心,EEPW 20年的品牌历史,是电子工程师的网络家园
  • 关键字: SPI  DSP  CPLD  端口通信  

基于DSP和CPLD的光纤陀螺信号采集系统设计

  • 基于DSP和CPLD的光纤陀螺信号采集系统设计,摘要:随着光纤陀螺在空空导弹中的广泛应用,为了对其特性进行深入研究,设计了一种光纤陀螺信号采集系统。硬件结构采用了DSP+CPLD的方式,控制AD芯片完成多路光纤陀螺数据的采集。为了降低干扰对采集精度的影响,在
  • 关键字: 采集  系统  设计  信号  陀螺  DSP  CPLD  光纤  基于  

采用CPLD与μC/OS -Ⅱ的断路器智能控制单元设计

  • 采用CPLD与μC/OS -Ⅱ的断路器智能控制单元设计,本文介绍的智能控制单元采用数字信号处理器(DSP)及嵌入式实时操作系统完成各种数据的处理、通信和算法的设计,而状态量的采集和执行信号输出将由复杂可编程逻辑器(CPLD)完成,主要是基于CPLD内部硬件电路结构的可靠性
  • 关键字: 单元  设计  智能控制  断路器  CPLD  C/OS  采用  

采用DSP与CPLD的三相五电平变频器PWM脉冲发生器

  • 采用DSP与CPLD的三相五电平变频器PWM脉冲发生器,1 引言  近年来,多电平变换器成为电力电子研究的热点之一,它主要面向中压大功率的应用场合。目前,有三种基本的多电平变换器拓扑结构[1]:①二极管箝位型;②飞跨电容型;③级联型。  几种拓扑结构各有其优缺点,但相
  • 关键字: PWM  脉冲  发生器  变频器  电平  DSP  CPLD  三相五  采用  

Linux下CPLD驱动程序

  • Linux下CPLD驱动程序,========================================================================== */
    /* */
    /* Filename.c
  • 关键字: 驱动程序  CPLD  Linux  

基于DSP的CPLD软件更新方案研究

  • 基于DSP的CPLD软件更新方案研究, 0引言  在现代导航计算机系统朝着微型化发展的过程中,采用高性能数字信号处理器和可编程逻辑器件方案实现的导航计算机系统有着很高的性能优势。在本课题组研制的基于浮点型DSP和复杂可编程逻辑器件(CPLD)结构的嵌
  • 关键字: 方案  研究  更新  软件  DSP  CPLD  基于  

基于CPLD译码的DSP二次Bootloader方法介绍

  • 电子产品世界,为电子工程师提供全面的电子产品信息和行业解决方案,是电子工程师的技术中心和交流中心,是电子产品的市场中心,EEPW 20年的品牌历史,是电子工程师的网络家园
  • 关键字: DSP  译码  Bootloader  CPLD  

基于DSP和CPLD的金属磁记忆检测仪设计

  • 基于DSP和CPLD的金属磁记忆检测仪设计,摘要:设计了一种以DSP+CPLD为控制核心的高性能金属磁记忆检测仪,用以快速检测铁磁材料的漏磁信号,判断材料应力集中区域。文中简述了磁记忆检测仪的主要电路及其工作原理,重点介绍了系统的硬件和软件设计。该检测
  • 关键字: 检测仪  设计  记忆  金属  DSP  CPLD  基于  

基于CPLD技术的看门狗电路设计

  • 随着现代电子技术的发展,带有各种微处理的现代电子设备已广泛应用于国民生产的各行各业中。但随着设备功能越来越强大,程序结构越来越复杂,指令代码越来越长,加之现场工作环境的干扰,设备失控,程序“走飞
  • 关键字: CPLD  看门狗  电路设计    

基于CPLD的系统硬件看门狗设计

  • 摘要:基于以DSP芯片TMS320F2812为核心的数字伺服控制器,以国微电子公司的SM1032国产CPLD(兼容Lattice公司的ispLSI 1032)为载体,设计了专用的系统硬件看门狗模块,具备识别DSP软件初始化时序、自由定制看门狗时序等
  • 关键字: CPLD  系统硬件  看门狗    

基于电阻触摸屏和CPLD来实现多点触摸系统

  •  触摸屏是成熟的技术,最基本、也是最常用的是4/5线电阻触摸屏。很多标准屏支持多种尺寸,可以选择多种解码模拟解决方案。电阻触摸屏支持多种输入方法,比如手指、触摸笔、手套和指甲等等。电容触摸屏是一种新的解决
  • 关键字: 触摸  系统  实现  CPLD  电阻  触摸屏  基于  
共775条 20/52 |‹ « 18 19 20 21 22 23 24 25 26 27 » ›|
关于我们 - 广告服务 - 企业会员服务 - 网站地图 - 联系我们 - 征稿 - 友情链接 - 手机EEPW
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司
备案 京ICP备12027778号-2 北京市公安局备案:1101082052    京公网安备11010802012473