首页  资讯  商机   下载  拆解   高校  招聘   杂志  会展  EETV  百科   问答  电路图  工程师手册   Datasheet  100例   活动中心  E周刊阅读   样片申请
EEPW首页 >> 主题列表 >> active-hdl

active-hdl 文章 进入active-hdl技术社区

新手福音:概述学习FPGA的一些常见误区

  • 新手福音:概述学习FPGA的一些常见误区-很多刚开始学习FPGA的朋友们经常会遇上一些误区而无从解决,FPGA为什么是可以编程的?通过HDL语言怎么看都看不出硬件结构?...本文就这个方面进行解析。
  • 关键字: 可编程逻辑器件  FPGA  HDL  FPGA教程  

把HDL模块用NGC格式加密并在其他项目中调用

  • 把HDL模块用NGC格式加密并在其他项目中调用-前面创新网网友Ricky Su发了篇博文《 说说FPGA中的黑盒子(BlackBox)》,学习了感觉很好用,最近在网上又找到一篇讲该方面内容的文章,感觉写的很好转发来与大家共享,文章如下
  • 关键字: NGC  HDL  

Verilog HDL简明教程(2)

  • Verilog HDL简明教程(2)-模块是Verilog 的基本描述单位,用于描述某个设计的功能或结构及其与其他模块通信的外部端口。
  • 关键字: Verilog  HDL  

Verilog HDL 设计模拟

  • Verilog HDL 不仅提供描述设计的能力,而且提供对激励、控制、存储响应和设计验证的建模能力。激励和控制可用初始化语句产生。验证运行过程中的响应可以作为 “ 变化时保存 ” 或作为选通的数据存储。最后,设计验证可以通过在初始化语句中写入相应的语句自动与期望的响应值比较完成。
  • 关键字: Verilog  HDL  设计模拟  

用硬件描述语言设计复杂数字电路的优点

  • 以前的数字逻辑电路及系统的规模的比较小而且简单,用电路原理图输入法基本足够了。但是一般工程师需要手工布线,需要熟悉器件的内部结构和外部引线特点,才能达到设计要求,这个工作量和设计周期都不是我们能想象的。现在设计要求的时间和周期都很短,用原理图这个方法显然就不符合实际了。
  • 关键字: Verilog  HDL  虚拟接口联盟  

HDL语言种类

  • HDL 语言在国外有上百种。高等学校、科研单位、 EDA 公司都有自己的 HDL 语言。现选择较有影响的作简要介绍。
  • 关键字: HDL  VHDL  种类  

Verilog HDL和VHDL的比较

  • 这两种语言都是用于数字电子系统设计的硬件描述语言,而且都已经是 IEEE 的标准。 VHDL 1987 年成为标准,而 Verilog 是 1995 年才成为标准的。这个是因为 VHDL 是美国军方组织开发的,而 Verilog 是一个公司的私有财产转化而来的。为什么 Verilog 能成为 IEEE 标准呢?它一定有其优越性才行,所以说 Verilog 有更强的生命力。
  • 关键字: Verilog  VHDL  HDL  

CPLD/FPGA在数字通信系统的应用

  • 1 引言近年来,由于微电子学和计算机技术的迅速发展,给EDA技术行业带来了巨大的变化。 HDL(hardware description language)硬件描述语言是一种描述电路行为的
  • 关键字: Verilog  CPLD  FPGA  HDL  汉明码  

FPGA协处理器实现代码加速的设计

  • 本文主要研究了代码加速和代码转换到硬件协处理器的方法。我们还分析了通过一个涉及到基于辅助处理器单元(APU)的实际图像显示案例的基准数据均衡决策的过程。该设计使用了在一个平台FPGA中实现的一个嵌入式PowerPC。
  • 关键字: 协处理器  代码加速  HDL  

Verilog HDL硬件描述语言:task和function说明语句的区别

  • task和function说明语句的区别task和function说明语句分别用来定义任务和函数。利用任务和函数可以把一个很大的程序模块分解成许多较小的任务和函数便于理解和调试。输入、输出和总线信号的值可以传入或传出任务和函
  • 关键字: function  Verilog  task  HDL    

Verilog HDL设计进阶:有限状态机的设计原理及其代码风格

  • 由于Verilog HDL和 VHDL 行为描述用于综合的历史还只有短短的几年,可综合风格的Verilog HDL 和VHDL的语法只是它们各自语言的一个子集。又由于HDL的可综合性研究近年来非常活跃,可综合子集的国际标准目前尚未最后形
  • 关键字: Verilog  HDL  进阶  代码    

基于FPGA的自适应均衡器的研究与设计

  • 摘要:近年来,自适应均衡技术在通信系统中的应用日益广泛,利用自适应均衡技术在多径环境中可以有效地提高数字接收机的性能。为了适应宽带数字接收机的高速率特点,本文阐述了自适应均衡器的原理并对其进行改进。最
  • 关键字: 自适应均衡器  宽带数字接收机  FPGA  Verilog HDL  

基于FPGA的高速长线阵CCD驱动电路

  • 高速长线阵CCD(电荷耦合器)具有低功耗,小体积,高精度等优势,广泛应用于航天退扫系统中的图像数据采集。而CCD驱动电路设计是CCD正常工作的关键问题之一,CCD驱动信号时序是一组相位要求严格的脉冲信号,只有时序信
  • 关键字: CCD  线阵  FPGA  verilog HDL  

基于Verilog HDL的SVPWM算法的设计与仿真

  • 摘要:空间矢量脉宽调制算法是电压型逆变器控制方面的研究热点,广泛应用于三相电力系统中。基于硬件的FPGA/CPLD芯片能满足该算法对处理速度、实时性、可靠性较高的要求,本文利用Verilog HDL实现空间矢量脉宽调制算
  • 关键字: 同步电动机  电压型逆变器  Verilog HDL  

一种高效网络接口的设计

  • 为了得到比传统片上网络的网络资源接口(NI)更高的数据传输效率和更加稳定的数据传输效果,提出了一种新的高效网络接口的设计方法,并采用Verilog HDL语言对相关模块进行编程,实现了高效传输功能,同时又满足核内路由的设计要求。最终通过仿真软件Xilinx ISE Design Suite 12.3和ModelSim SE 6.2b得到了满足设计要求的仿真结果。
  • 关键字: 片上网络  网络资源接口  核内路由  Verilog HDL  
共106条 3/8 « 1 2 3 4 5 6 7 8 »
关于我们 - 广告服务 - 企业会员服务 - 网站地图 - 联系我们 - 征稿 - 友情链接 - 手机EEPW
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司
备案 京ICP备12027778号-2 北京市公安局备案:1101082052    京公网安备11010802012473