首页  资讯  商机   下载  拆解   高校  招聘   杂志  会展  EETV  百科   问答  电路图  工程师手册   Datasheet  100例   活动中心  E周刊阅读   样片申请
EEPW首页 >> 主题列表 >> tlv1562

tlv1562 文章 进入tlv1562技术社区

基于TLV1562的4通道高速实时数据采集系统的设计

  • 2004年6月A版 摘  要:       本文提出了一种基于TLV1562的4通道实时数据采集处理系统的设计与实现方案。该系统应用到雷达实时自适应噪声对消器中,能够满足实时雷达信号对消处理要求,效果较好。 关键词:       TLV1562;实时数据采集;VHDL 引言   在雷达杂波对消器设计中,传统的方法是采用中频对消,即杂波的抑制在中频上实现。早期的中频对消器
  • 关键字: TLV1562  嵌入式  
共2条 1/1 1

tlv1562介绍

您好,目前还没有人创建词条tlv1562!
欢迎您创建该词条,阐述对tlv1562的理解,并与今后在此搜索tlv1562的朋友们分享。    创建词条

热门主题

树莓派    linux   
关于我们 - 广告服务 - 企业会员服务 - 网站地图 - 联系我们 - 征稿 - 友情链接 - 手机EEPW
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司
备案 京ICP备12027778号-2 北京市公安局备案:1101082052    京公网安备11010802012473