首页  资讯  商机   下载  拆解   高校  招聘   杂志  会展  EETV  百科   问答  电路图  工程师手册   Datasheet  100例   活动中心  E周刊阅读   样片申请
EEPW首页 >> 主题列表 >> tea5767hn-standard-v3

tea5767hn-standard-v3 文章 进入tea5767hn-standard-v3技术社区

乒乓球比赛

  • FPGA可以轻松成为视频生成器。乒乓球游戏包括在屏幕上弹跳的球。桨(此处由鼠标控制)使用户能够使球弹回。尽管可以使用其他任何FPGA开发板,但我们都使用Pluto FPGA板。驱动VGA显示器一个VGA监视器需要5个信号才能显示图片:R,G和B(红色,绿色和蓝色信号)。HS和VS(水平和垂直同步)。R,G和B是模拟信号,而HS和VS是数字信号。通过FPGA引脚创建VGA视频信号以下是驱动VGA接口的方法:VGA连接器(HS和VS)的引脚13和14是数字信号,因此可以直接从两个FPGA引脚驱动(或
  • 关键字: 小脚丫核心板   STEP-MAX10M08核心板  STEP BaseBoard V3.0  VGA视频信号  

基于小脚丫STEP MXO2的温度显示系统

  • 1、项目简介基于小脚丫STEP MXO2的温度显示系统的核心控制模块为小脚丫STEP MXO2开发板,采用由MicroUSB输入的5V供电,温度传感器选用的是DALLAS的经典传感器——DS18B20,一个封装和常见三极管(TO-92)相同的温度传感器,而显示模块采用LCD1602,相信读者对这两个模块一定是极为熟悉。2、项目框图2.1 控制核心温度计项目控制核心为小脚丫STEP MXO2 V2版本FPGA开发板,FPGA芯片为Lattice Semiconductor的MachXO2 400HC系列FP
  • 关键字: 小脚丫核心板   STEP-MAX10M08核心板  STEP BaseBoard V3.0  温度计  

FPGA三人表决器

  • 一、项目介绍相信大家对电视中的选秀节目并不陌生,我们常常能够见到一种比赛规则:当三名评委中有两名及以上同意选手晋级时,该选手才能晋级,那么如何去实现该项目呢?二、实现原理其实这一切都离不开数字电路,首先我们可以绘制出三人表决器的真值表,然后用Verilog去实现它,真值表如下:三、硬件部分通过对此项目进行评估我们发现:1.该项目需要三个输入,我们可以通过拨码开关进行实现;2.需要显示模块来表示投票情况,这里我们选用数码管进行实现;四、Verilog实现// *******************
  • 关键字: 小脚丫核心板   STEP-MAX10M08核心板  STEP BaseBoard V3.0  表决器  

WIFI_ESP8266通信系统设计

  • 实验任务任务:基于 STEP-MAX10M08核心板 和 STEP BaseBoard V3.0底板 完成WIFI_ESP8266通信系统设计并观察调试结果要求:通过手机或电脑网络调试助手给ESP8266模块发送数据,FPGA驱动ESP8266模块获取数据,并显示在底板的数码管上。解析:要通过ESP8266实现WIFI通信有多种方式,本实验采用方式:FPGA驱动ESP8266模块,将ESP8266配置成SoftAP模式同时配置成TCP Server,手机或电脑连接ESP8266的WIFI热点,网络调试助手
  • 关键字: 小脚丫核心板   STEP-MAX10M08核心板  STEP BaseBoard V3.0  WIFI通信系统  

屏幕保护系统设计

  • 实验任务任务:基于 STEP-MAX10M08核心板 和 STEP BaseBoard V3.0底板 完成屏幕保护系统设计并观察调试结果要求:通过底板上的VGA接口驱动液晶显示器在800×600@60Hz的模式下显示,实现经典屏幕保护的界面效果,让小脚丫Logo不断反弹移动。解析:将小脚丫Logo取模得到128×128像素的图片数据,通过FPGA编程驱动VGA液晶显示器,实现现经典屏幕保护的界面效果。实验目的在图片显示系统实验中我们学习过图片取模的方法,根据取模数据创建ram模块,本实验我们要学习VGA接
  • 关键字: 小脚丫核心板   STEP-MAX10M08核心板  STEP BaseBoard V3.0  屏幕保护系统  

图片显示系统设计

  • 实验任务任务:基于 STEP-MAX10M08核心板 和 STEP BaseBoard V3.0底板 完成图片显示系统设计并观察调试结果要求:将小脚丫的Logo转换成单色图片数据,驱动底板上1.8寸彩色液晶屏显示出来解析:将单色图片的数据存储到rom中,驱动1.8寸将图片刷到液晶屏上。实验目的扩展板卡上集成了1.8寸彩色液晶屏TFT_LCD模块,大家可以驱动LCD显示文字、图片或动态的波形。本实验主要学习1.8寸串行彩色液晶屏的驱动设计,然后将小脚丫Logo处理显示,完成图片显示系统的总体设计。了解1.8
  • 关键字: 小脚丫核心板   STEP-MAX10M08核心板  STEP BaseBoard V3.0  图像显示  

数字万年历设计

  • 实验任务普通列表项目任务:基于 STEP-MAX10M08核心板 和 STEP BaseBoard V3.0底板 完成数字万年历设计并观察调试结果普通列表项目要求:驱动底板上的实时时钟芯片DS1340Z获取时间信息(年、月、日、周、时、分、秒),显示在8位数码管上,分两页显示,第一页显示年月日周信息,第二页显示时分秒信息,通过旋转编码器调节数字万年历和控制显示,具体控制如下:万年历有8个状态(常态、调年、调月、调日、调周、调时、调分、调秒)按动旋转编码器在8个状态中依次循环切换常态下,转动编码器切换显示页
  • 关键字: STEP BaseBoard V3.0  小脚丫核心板   STEP-MAX10M08  FPGA  万年历  

数字温湿度计设计

  • 实验任务任务:基于 STEP-MAX10M08核心板 和 STEP BaseBoard V3.0底板 完成数字温湿度计设计并观察调试结果要求:驱动底板上的温湿度传感器SHT-20测量空气中的温度和湿度,将温湿度信息显示在8位扫描式数码管上。解析:通过FPGA编程驱动I2C接口温湿度传感器SHT-20,获取温湿度码值信息,将两种码值信息经过运算转换成物理温度湿度数据,然后经过BCD转码处理并显示到扫描式数码管上。实验目的前面的章节中我们学习了扫描式数码管模块和BCD转码模块的工作原理及驱动方法,也对I2C总
  • 关键字: STEP BaseBoard V3.0  小脚丫核心板   STEP-MAX10M08  FPGA  数字温湿度  

基于接近式传感器的智能接近系统设计

  • 实验任务任务:智能手机通话,手机靠近耳朵后关闭屏显,基于 STEP-MAX10M08核心板 和 STEP BaseBoard V3.0底板 完成智能接近系统设计并观察调试结果要求:驱动底板上的接近式传感器APDS-9901获得接近数据,控制核心板上LED按能量条方式点亮解析:通过FPGA编程驱动接近式传感器APDS-9901,获取接近距离信息,然后根据距离信息编码控制8个LED灯按能量条方式点亮。实验目的本节实验主要学习I2C总线工作原理、协议及相关知识,掌握FPGA驱动I2C设备的原理及方法,了解输入输
  • 关键字: STEP BaseBoard V3.0  小脚丫核心板   STEP-MAX10M08  FPGA  传感器系统  

基于DDS的任意波形、信号发生器设计

  • 实验任务任务:基于 STEP-MAX10M08核心板 和 STEP BaseBoard V3.0底板 完成波形信号发生器设计并观察调试结果要求:通过底板上的旋转编码器控制串行DAC芯片DAC081S101基于DDS技术产生波形可选、频率可调的常见波形信号。解析:FPGA驱动旋转编码器得到操作信息,通过逻辑控制波形和频率寄存器,设计DDS模块根据波形和频率寄存器控制波形数据的输出,波形数据通过串行DAC驱动模块传送到底板的DAC芯片进行转换,得到波形信号输出。实验目的前面章节我们学习了旋转编码器的工作原理及
  • 关键字: STEP BaseBoard V3.0  小脚丫核心板   STEP-MAX10M08  FPGA  信号发生器  

简易电压表设计

  • 实验任务任务:基于 STEP-MAX10M08核心板 和 STEP BaseBoard V3.0底板 完成简易电压表设计并观察调试结果要求:通过底板上的串行模数转换器ADC芯片测量可调电位计输出电压,并将电压信息显示在核心板的数码管上。解析:通过FPGA编程驱动串行ADC芯片,得到数字量化的电压信息,将量化的数字信息转换成BCD码形式,同时驱动独立数码管将电压值显示出来。实验目的在基础数字电路实验部分我们已经掌握了FPGA驱动独立数码管的原理及方法,本实验主要学习模数转换器ADC的相关知识,串行(SPI接
  • 关键字: STEP BaseBoard V3.0  小脚丫核心板   STEP-MAX10M08  FPGA  电压表  

串口监视系统设计

  • 实验任务任务:基于 STEP-MAX10M08核心板 和 STEP BaseBoard V3.0底板 完成串口监视系统设计并观察调试结果。要求:设计串口监视系统,实时监控串口(UART)接收数据,并将数据显示在底板的8位数码管上(仅限数字0~9)。解析:通过FPGA编程驱动底板上的CP2102串口通信模块,接收来自PC(串口调试助手)或其他串口设备的数据,经过处理,最后通过驱动8位扫描式数码管模块,将接收到的数据显示在底板数码管上。实验目的本实验主要学习串口(UART)总线工作原理、协议及相关知识,练习如
  • 关键字: STEP BaseBoard V3.0  小脚丫核心板   STEP-MAX10M08  FPGA  串口监视  

比赛计分系统设计

  • 实验任务任务:基于 STEP-MAX10M08核心板 和 STEP BaseBoard V3.0底板 完成比赛计分系统设计并观察调试结果要求:按动核心板独立按键,驱动底板上8位数码管为比赛双方在0~999内计分。解析:FPGA驱动独立按键,当按动两队加分按键时,控制两队分数调整,最后通过驱动底板上的数码管电路将得分值显示在数码管上。实验目的在基础数字电路实验部分我们已经掌握了FPGA驱动独立按键的原理及方法,控制数码管显示十进制数的BCD码方案前面也多次介绍,本实验主要学习数码管扫描显示的原理及方法。熟悉
  • 关键字: STEP BaseBoard V3.0  小脚丫核心板   STEP-MAX10M08  FPGA  比赛计分系统  

基于旋转编码器的调节系统设计

  • 实验任务任务:基于 STEP-MAX10M08核心板 和 STEP BaseBoard V3.0底板 完成旋转调节系统设计并观察调试结果要求:转动底板上的旋转编码器,调整核心板数码管数值在0~99之间变化,右旋增加,左旋减小。解析:通过FPGA编程驱动旋转编码器获取操作信息,根据操作信息控制变量增加或减小,最后驱动独立式数码管将变量显示出来。实验目的在基础数字电路实验部分我们已经掌握了FPGA驱动独立显示数码管的原理及方法,本实验主要学习旋转编码器的驱动原理,最后完成旋转调节系统总体设计。熟悉独立显示数码
  • 关键字: STEP BaseBoard V3.0  小脚丫核心板   STEP-MAX10M08  FPGA  旋转编码器  

简易电子琴设计

  • 实验任务任务:基于 STEP-MAX10M08核心板 和 STEP BaseBoard V3.0底板 完成简易电子琴设计并观察调试结果要求:按动矩阵键盘,驱动底板无源蜂鸣器发出产生不同音调,弹奏一首《小星星》。解析:通过FPGA编程驱动矩阵键盘电路,获取矩阵键盘键入的信息,然后通过编码将键盘输出的信息译码成对应的音节数据,最后通过PWM发生模块驱动底板上的无源蜂鸣器发出声音。实验目的在基础数字电路实验部分我们已经掌握了FPGA设计PWM信号发生器的原理及方法,上节实验中又学习了矩阵键盘的驱动原理及方法,本
  • 关键字: STEP BaseBoard V3.0  小脚丫核心板   STEP-MAX10M08  FPGA  矩阵键盘  
共39条 1/3 1 2 3 »
关于我们 - 广告服务 - 企业会员服务 - 网站地图 - 联系我们 - 征稿 - 友情链接 - 手机EEPW
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司
备案 京ICP备12027778号-2 北京市公安局备案:1101082052    京公网安备11010802012473