首页  资讯  商机   下载  拆解   高校  招聘   杂志  会展  EETV  百科   问答  电路图  工程师手册   Datasheet  100例   活动中心  E周刊阅读   样片申请
EEPW首页 >> 主题列表 >> 3dic compiler

3dic compiler 文章 进入3dic compiler技术社区

美商Altera公司与TSMC采用CoWoS生产技术

  • 美商Altera公司与TSMC22日宣布,采用TSMC CoWoS生产技术共同开发全球首颗能够整合多元芯片技术的三维集成电路(Heterogeneous 3DIC)测试芯片,此项创新技术系将模拟、逻辑及内存等各种不同芯片技术堆栈于单一芯片上组合而成,可协助半导体产业超越摩尔定律的发展规范,而TSMC的CoWoS整合生产技术能够提供开发3DIC技术的半导体公司一套完整的解决方案,包括从前端晶圆制造到后端封装测试的整合服务。
  • 关键字: Altera  测试芯片  3DIC  

半导体制程技术迈入3D 2013年可视为量产元年

  •   时序即将进入2012年,半导体产业技术持续进行变革,其中3D IC便为未来芯片发展趋势,将促使供应链加速投入3D IC研发,其中英特尔(Intel)在认为制程技术将迈入3D下,势必激励其本身的制程创新。另外在半导体业者预期3D IC有机会于2013年出现大量生产的情况下,预估2013年也可视为是3D IC量产元年。   3D IC为未来芯片发展趋势,其全新架构带来极大改变,英特尔即认为,制程技术将迈入3D,未来势必激励技术创新。英特尔实验室日前便宣布与工研院合作,共同合作开发3D IC架构且具低功耗
  • 关键字: 半导体制程  3DIC  

后摩尔定律时代:3DIC成焦点

  •   当大部份芯片厂商都感觉到遵循摩尔定律之途愈来愈难以为继时,3DIC成为了该产业寻求持续发展的出路之一。然而,整个半导体产业目前也仍在为这种必须跨越工具、制程、设计端并加以整合的技术类别思考适合的解决方案。 
  • 关键字: Nvidia  3DIC  

Cadence推出C-to-Silicon Compiler

  •   加州圣荷塞,2008年7月15日——全球电子设计创新领先企业Cadence设计系统公司(纳斯达克: CDNS),今天宣布推出Cadence® C-to-Silicon Compiler,这是一种高阶综合产品,能够让设计师在创建和复用系统级芯片IP的过程中,将生产力提高10倍。C-to-Silicon Compiler中的创新技术成为沟通系统级模型之间的桥梁,它们通常是用C/C++ 和SystemC写成的,而寄存器传输级(RTL)模型通常被用于检验、实现和集成SoC。这种
  • 关键字: Cadence  SoC  C-to-Silicon Compiler  半导体  

Cadence推出C-to-Silicon Compiler拓展系统级产品

  •   全球电子设计创新领先企业Cadence设计系统公司(纳斯达克: CDNS),今天宣布推出Cadence® C-to-Silicon Compiler,这是一种高阶综合产品,能够让设计师在创建和复用系统级芯片IP的过程中,将生产力提高10倍。C-to-Silicon Compiler中的创新技术成为沟通系统级模型之间的桥梁,它们通常是用C/C++ 和SystemC写成的,而寄存器传输级(RTL)模型通常被用于检验、实现和集成SoC。这种重要的新功能对于开发新型SoC和系统级IP,用于消费电子、无
  • 关键字: Cadence  C-to-Silicon Compiler  

Synopsys推出IC COMPILER 2007.03版

  •   Synopsys 今天发布了下一代布局布线解决方案——IC Complier 2007.03 版。该版本运行时间更快、容量更大、多角/多模优化(MCMM)更加智能、而且具有改进的可预测性,可显著提高设计人员的生产效率。   同时,新版本还推出了支持正在兴起的45纳米技术的物理设计。目前,有近百个采用IC Compiler的客户设计正在进行中,订单金额超过一亿美元。IC Compiler正成为越来越多市场领先的IC设计公司在各种应用和广泛硅技术中的理想选择。2007.03 版的重大技术创新将为加速其广
  • 关键字: COMPILER  Synopsys  单片机  嵌入式系统  

威捷采用Synopsys IC Compiler进行90纳米设计

  • 易于移植、强有力的技术发展路线图等优势使其在竞争中脱颖而出 全球电子设计自动化软件工具(EDA)领导厂商Synopsys(Nasdaq: SNPS)近日宣布,美国威捷半导体公司(Silicon Optix)采用Synopsys IC Compiler下一代布局布线解决方案,设计其高性能视频处理器。长期以来,威捷半导体一直是Synopsys布局布线技术的用户。为了转向90纳米工艺,威捷半导体评估了市场上所有的解决方案,并最终选定了IC Compiler,这是
  • 关键字: 90纳米设计  Compiler  IC  Synopsys  单片机  嵌入式系统  威捷半导体  
共22条 2/2 « 1 2
关于我们 - 广告服务 - 企业会员服务 - 网站地图 - 联系我们 - 征稿 - 友情链接 - 手机EEPW
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司
备案 京ICP备12027778号-2 北京市公安局备案:1101082052    京公网安备11010802012473