首页  资讯  商机   下载  拆解   高校  招聘   杂志  会展  EETV  百科   问答  电路图  工程师手册   Datasheet  100例   活动中心  E周刊阅读   样片申请
EEPW首页 >> 主题列表 >> 直接数字合成(dds)

直接数字合成(dds) 文章 进入直接数字合成(dds)技术社区

基于DDS跳频信号源的设计与实现

  •   0 引言   跳频通信具有较强的抗干扰、抗多径衰落、抗截获等能力,已广泛应用于军事、交通、商业等各个领域。频率合成器是跳频系统的心脏,直接影响到跳频信号的稳定性和产生频率的准确度。目前频率合成主要有三种方法:直接模拟合成法、锁相环合成法和直接数字合成法(DDS)。直接模拟合成法利用倍频(乘法)、分频(除法)、混频(加法与减法)及滤波,从单一或几个参考频率中产生多个所需的频率。该方法频率转换时间快(小于100ns),但是体积大、功耗高,目前已基本不用。锁相环合成法通过锁相环完成频率的加、减、乘、除运算
  • 关键字: DDS  FPGA  

DSP和DDS的三维感应测井高频信号源实现

  •   高频信号源设计是三维感应测井的重要组成部分。三维感应测井的原理是利用激励信号源通过三个正交的发射线圈向外发射高频信号,再通过多组三个正交的接收线圈,得到多组磁场分量,从而准确测量地层各向异性电阻率。在测井过程中,要求信号源的频率为高频,并且要求信号的频率有很高的稳定性。   产生信号的方法很多,可以采用函数发生器外接分立元件来实现,通过调节外接电容或电阻来设置输出信号频率。但输出信号受外部分立器件参数影响很大,且输出信号频率不能太高,同时无法实现频率步进调节。另外,采用FPGA可实现信号发生器的设计
  • 关键字: DSP  DDS  

基于DDS IP核及Nios II的可重构信号源设计

  •   SOPC(System on a Programmable Chip,片上可编程系统)是Altera公司提出的一种灵活、高效的SOC解决方案。它将处理器、存储器、I/O接口、LVDS、CDR等系统设计需要的功能模块集成到一个可编程逻辑器件上,构建一个可编程的片上系统。它具有灵活的设计方式,软硬件可裁减、可扩充、可升级,并具备软硬件在系统可编程的功能。SOPC的核心器件FPGA已经发展成一种实用技术,让系统设计者把开发新产品的时间和风险降到最小。最重要的是,具有现场可编程性的FPGA延长了产品在市场的存
  • 关键字: SOPC  DDS  Nios II  Altera  

三相SPWM波形发生器的设计与仿真

  • 本文提出了一种采用VHDL硬件描述语言设计新型三相正弦脉宽调制(SPWM)波形发生器的方法。该方法以直接数字频率合成技术(DDS)为核心产生三相SPWM信号。并且利用VHDL设计了死区时间可调的死区时间控制器,解决了传统的模块电路等待方法很难产生带精确死区时间控制的SPWM信号的问题。该方法在Quartus II 9.1环境平台下进行了仿真验证,并将设计程序下载到DE2-70实验板进行实验测试,用示波器测试得到了死区时间可控制的SPWM波形。
  • 关键字: VHDL  SPWM  DDS  死区时间  FPGA  201505  

基于FPGA和虚拟仪器的DDS信号发生器的设计与实现

  •   信号发生器是一种常用的信号源,广泛应用于通信、测量、科研等现代电子技术领域。信号发生器的核心技术是频率合成技术,主要方法有:直接模拟频率合成、锁相环频率合成(PLL)、直接数字合成技术(DDS)。DDS 是开环系统,无反馈环节,输出响应速度快,频率稳定度高。因此直接数字频率合成技术是目前频率合成的主要技术之一。文中的主要内容是采用FPGA 结合虚拟仪器技术,进行DDS 信号发生器的开发[1-2]。   1 DDS 工作原理   图1 是DDS 基本结构框图。以正弦波信号发生器为例,利用DDS 技术
  • 关键字: FPGA  DDS  

石英晶体测试系统中DDS信号源设计

  •   针对π网络石英晶体参数测试系统,采用以STM32F103ZET6型ARM为MCU控制DDS产生激励信号。该测试系统相对于传统的PC机测试系统具有设备简单、操作方便,较之普通单片机测试系统又具有资源丰富、运算速度更快等优点。AD9852型DDS在ARM控制下能产生0~100 MHz扫频信号,经试验数据分析得到信号精度达到0.5×10-6,基本满足设计要求。该系统将以其小巧、快速、操作方便、等优点被广泛采用。   产生正弦激励信号一般可以通过振荡电路或直接数字频率合成器(Direct
  • 关键字: 石英晶体  DDS  

基于DDS技术的波形发生器设计与仿真

  •   1.引言   DDS频率合成器具有频率分辨率高,输出频点多,可达2N个频点(假设DDS相位累加器的字长是N);频率切换速度快,可达us量级;频率切换时相位连续的优点,可以输出宽带正交信号,其输出相位噪声低,对参考频率源的相位噪声有改善作用;可以产生任意波形;全数字化实现,便于集成,体积小,重量轻。   本文介绍了DDS的基本原理,同时针对DDS波形发生器的FPGA实现进行了简要介绍,利用SignalTapII嵌入式逻辑分析仪对正弦波、三角波、方波、锯齿波进行仿真验证。   2.DDS波形发生器的
  • 关键字: DDS  波形发生器  

基于FPGA+DDS的正弦信号发生器的设计

  •   1971年,美国学者TIERNCY J、TADER C M和GOLD B在《A Digital Frequeney Synthesizer》一文中提出了以全数字技术,从相位概念出发直接合成所需波形的一种新的频率合成原理,称之为直接数字频率合成器DDS(Direct Digitial Frequency Synthesis)[1].这是频率合成技术的一次重大革命,但限于当时微电子技术和数字信号处理技术的限制,DDS并没有得到足够的重视。随着现代超大规模集成电路集成工艺的高速发展,数字频率合成技术得到了质
  • 关键字: FPGA  DDS  

基于DDS技术和单片机设计的射频信号干扰器

  •   文中介绍的干扰器能够产生3种干扰信号:随机干扰、点频干扰和扫频干扰,其中点频干扰和扫频干扰是基于单片机对DDS芯片AD9852的控制产生,整个系统的控制灵活、高效。测试结果表明,系统能够准确产生所需要的干扰信号,满足抗干扰性能测试的需要。虽然本设计产生的干扰信号位于406 MHz频段,但这样的电路结构也可用于其它频段(需修改VCO、PLL等电路),例如手机通信频段,因此本电路结构对其它频段的应用同样具有借鉴意义。   随着电子设备的使用越来越普遍,电子设备之间的干扰问题也越来越突出,特别是通信设备的
  • 关键字: DDS  AD9852  

基于DDS的短波射频频率源设计与实现

  •   介绍了直接数字频率合成(DDS)的结构和原理,并将DDS技术应用于短波射频通信频率源中。实现了一种基于单片机+DDS可编程低噪声频率源,输出信号范围46.5~75 MHz.实验结果表明,该频率源具有频率分辨率高、相位噪声低等优点,满足短波射频通信系统对频率源的设计要求。   频率源是现代短波射频通信系统的核心,对整个系统的正常运行起着决定性的作用。作为射频电路与系统的核心设备,频率源的好坏关系着整个系统的稳定性。现在的频率合成技术正朝着杂散和相位噪声更低的方向发展,同时还要求有更宽的频带和更高的频率
  • 关键字: DDS  射频  

基于AD9854和FPGA的频率特性测试仪

  •   摘要:基于零中频正交解调原理的频率特性测试仪,用于检测被测网络的幅频特性和相频特性。系统采用集成数字直接频率合成器AD9854产生双路恒幅正交余弦信号,作为扫频信号源,以FPGA为控制核心和运算平台,结合滤波器、放大器、混频器及ADC电路,实现对双端口网络在1-40MHz频率范围内频率特性的点频和扫频测量,并在LCD屏上实时显示相频特性曲线和幅频特性曲线。   引言   AD9854数字合成器是高度集成的器件,它采用先进的DDS技术,片内整合了两路高速、高性能正交D/A转换器,在高稳定度时钟的驱动
  • 关键字: AD9854  FPGA  滤波器  DDS  ADC  201504  

多模多制式调制信号发生技术

  •   摘要:随着通信行业以及数字技术的不断发展,市场上经常需要多模通信信号或多制式数字调制信号发生器,本文介绍了采用软件无线电思想,基于“DDR2+FPGA+DAC+DDS+宽带调制器”的硬件结构的信号发生装置,实现了TD-SCDMA、WCDMA、TD-LTE、FDD-LTE等多模信号以及BPSK、QPSK、OQPSK、DQPSK、8PSK、16QAM、32QAM、64QAM、2FSK、4FSK、GMSK等数字调制信号的发生,能很好满足现代信号模拟的实际需求。   1 引言   
  • 关键字: 多模  调制信号  FPGA  DDS  FIR滤波器  201504  

【从零开始走进FPGA】教你什么才是真正的任意分频

  •   一、为啥要说任意分频   也许FPGA中的第一个实验应该是分频实验,而不是流水灯,或者LCD1602的"Hello World"显示,因为分频的思想在FPGA中极为重要。当初安排流水灯,只是为了能让大家看到效果,来激发您的兴趣(MCU的学习也是如此)。   在大部分的教科书中,都会提到如何分频,包括奇数分频,偶数分频,小数分频等。有些教科书中也会讲到任意分频(半分频,任意分数分频)原理,用的是相位与的电路,并不能办到50%的占空比,也不是很灵活。   但没有一本教科书会讲到精
  • 关键字: FPGA  DDS  

X波段间接式频率综合器的设计

  •   1 引言   频率源是所有电子系统(雷达、通讯、测控、导航等)的基本信号来源,其主要包括固定频率源和合成频率源两类。其中合成频率源又称频率合成(综合)器,按其构成方式可分为直接式和间接式。采用锁相环(PLL)技术的间接频率合成器目前应用最为广泛。直接模拟频率合成器(DAS)采用倍频器、分频器、混频器及微波开关来实现频率合成,具有最优的近端相位噪声和高速捷变频特性,但结构复杂、成本昂贵的特点限制其只能应用于雷达等高端领域。直接数字合成器(DDS)目前也得到了广泛应用,但高性能DDS产品的输出频率还有待
  • 关键字: X波段  频率综合器  DDS  

基于DDS的频谱分析仪设计

  •   1 引言   直接数字频率合成(DDS)是近几年一种新型的频率合成法,其具有频率切换速度快,频率分辨率高,以及便于集成等优点。在此,设计了基于DDS的频谱分析仪,该频谱分析仪依据外差原理,被测信号与本征频率混频,实现信号的频谱分析。   2 系统设计   图1给出系统设计框图,主要由本机振荡电路、混频电路、放大检波电路、频谱输出显示电路等组成。通过单片机和现场可编程门阵列(FPGA)共同控制AD985l,以产生正弦扫频输出信号,然后经滤波、程控放大得到稳定输出,与经放大处理的被测信号混频,再经放
  • 关键字: DDS  FPGA  AD985l  
共264条 4/18 |‹ « 2 3 4 5 6 7 8 9 10 11 » ›|
关于我们 - 广告服务 - 企业会员服务 - 网站地图 - 联系我们 - 征稿 - 友情链接 - 手机EEPW
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司
备案 京ICP备12027778号-2 北京市公安局备案:1101082052    京公网安备11010802012473