首页  资讯  商机   下载  拆解   高校  招聘   杂志  会展  EETV  百科   问答  电路图  工程师手册   Datasheet  100例   活动中心  E周刊阅读   样片申请
EEPW首页 >> 主题列表 >> 光刻

光刻 文章 进入光刻技术社区

新型高科技芯片有望使海水变成淡水

  •   [导读]将海水变为人类可以饮用的淡水一直是一个吃力不讨好的差事,它耗能大、水质又差,现在MIT的科学家们制造的芯片可以更好地完成这个工作。   虽然地球表面有超过70%被水覆盖,但是我们可以直接使用的淡水却并不算多。海洋中的苦涩咸水占了总水量的97.5%,剩下的淡水又大部分集中在南北极和冰川上。数百万年来,人类只能依靠仅占总水量0.2%的淡水生存。   将海水变为淡水是个历史悠久的课题。虽然早在1954年人们就修建了大规模的海水淡化厂,但是直到目前为止,最常见的海水脱盐方式依然是半透膜反渗透或者多
  • 关键字: 芯片  光刻  腐蚀  

IMEC让前TSMC欧洲总裁来加强IC业务

  •   欧洲半导体研究所IMEC已任命前TSMC欧洲总裁Kees den Otter为其副总裁, 掌管其IC市场发展。   可能原因是出自研究所要更多的为工业化服务, 并创造应有的价值。显然近年来其pilot生产线中EUV光刻研发的 费用高耸,也难以为继。   近几年来IMEC与TSMC的关系靠近, 之前它的进步主要依靠Alcatels Mietec, 之后是Philips及NXP。随着NXP趋向fab lite及TSMC反而增强它在全球的超级能力,IMEC与TSMC在各个方面加强合作。   IMEC作
  • 关键字: IMEC  光刻  CMOS  

IC设备国产化多点突破二手市场寻求整合

  •   “工欲善其事,必先利其器。”集成电路产业的发展离不开装备制造业的支撑,而装备业的发展水平也是衡量一个国家集成电路产业总体水平的重要标准。近年来,我国集成电路装备业取得了长足的进步,12英寸设备在多个工序实现国产化。但由于8英寸、12英寸集成电路生产线在我国仍有很大的发展空间,这也给国外的二手设备提供了用武之地,同时,也给从事设备翻新的企业提供了发展机遇。   12英寸国产设备进展显著   ●多种核心装备实现国产化   ●12英寸65纳米是下阶段重点   一条标准的集成电
  • 关键字: 半导体设备  芯片制造  光刻  刻蚀  

张忠谋公开台积电20nm制程技术部分细节

  •   台积电公司宣布他们将于28nm制程之后跳过22nm全代制程,直接开发20nm半代制程技术。在台积电公司日前举办的技术会展上,台积电公司展示了部分 20nm半代制程的一些技术细节,20nm制程将是继28nm制程之后台积电的下一个主要制程平台,另外,20nm之后,台积电还会跳过18nm制程。   根据台积电会上展示的信息显示,他们的20nm制程将采用10层金属互联技术,并仍然采用平面型晶体管结构,增强技术方面则会使用HKMG/应变硅和较新的“low-r”技术(即由铜+low-
  • 关键字: 台积电  光刻  28nm  22nm  

未来三年内存价格将持续攀升

  •   DRAMeXchange传来噩耗称内存芯片的价格在未来三年内将持续走高。这家市场分析公司将内存产业的兴衰周期定为3年左右,据该公司的分析师表示,2001-2003年,内存业者一直处在亏损期,而2004-2006年则恢复为持续盈利的状态,2007-2009年间则再度出现亏损期,因此他们预计从2010年开始,在全球经济危机缓和,Windows7日渐流行等因素的影响下,内存业者将再度扭亏为盈,进入新的一轮三年盈利期。   不过内存业者仍然面临另外一个重要的难题,他们要将制程水品提升到50nm以上级别则一般
  • 关键字: ASML  光刻  内存芯片  

ASML第一季订单量继续增长

  •   荷兰半导体设备生产商ASML的订单状况显示今年第一季情况继续好转,投资者将详细审视该公司业绩,以寻找芯片行业结构性复苏的迹象。   分析师将该公司的订单情况视作英特尔和台积电等大型芯片生产商业绩预估的风向标。   根据路透调查,第一季半导体光刻设备订单料为43笔,多于前一季的40笔。   ASML是全球最大的光刻设备生产商,其竞争对手包括日本的Nikon和佳能。   分析师预计ASML第一季净利为9,900万欧元(1.324亿美元),营收为7.13亿欧元。15位分析师的营收预估范围为5.90亿
  • 关键字: ASML  光刻  

Vistec Lithography宣布与华中科技大签署战略合作伙伴协议

  •   电子束光刻系统的知名厂商Vistec Lithography公司近日宣布他们与中国武汉华中科技大学光电工程学院签署了战略合作伙伴协议。武汉华中科技大学是大陆国家级重点院校之一,而 Vistec Lithography则是电子束光刻设备的领先厂商,按协议规定,两家将在纳米光刻技术研究和教学领域开展合作。   这次合作的核心是Vistec公司提供给华中科技大学的EBPG5000pES电子束光刻系统,装备这套系统之后,华中科技大学在教学,科研以及对外合作方面的实力将如虎添翼。华中科技大学称:“
  • 关键字: Vistec-Lithography  光刻  掩膜  

DRAMeXchange:未来三年内存价格将持续攀升

  •   DRAMeXchange传来噩耗称内存芯片的价格在未来三年内将持续走高。这家市场分析公司将内存产业的兴衰周期定为3年左右,据该公司的分析师表 示,2001-2003年,内存业者一直处在亏损期,而2004-2006年则恢复为持续盈利的状态,2007-2009年间则再度出现亏损期,因此他们 预计从2010年开始,在全球经济危机缓和,Windows7日渐流行等因素的影响下,内存业者将再度扭亏为盈,进入新的一轮三年盈利期。   不过内存业者仍然面临另外一个重要的难题,他们要将制程水品提升到50nm以上级别则
  • 关键字: 内存芯片  光刻  

IBM宣布旗下芯片厂将停用PFOS/PFOA两种有毒化合物

  •   IBM公司近日宣布其名下的芯片制造厂中将停止使用全氟辛烷磺酰基化合物(PFOS)和全氟辛酸(PFOA)两种有毒有害化合物。多年前,在欧盟以及其它 一些国家的环保部门出台限制使用这两种化合物的法规之后,美国环保署也出台了限制在消费级产品的生产过程中使用这两种化合物的法规,这两种化合物一般用于 防污和防潮处理。   不过在半导体制造产业中,仍允许使用这两种化合物,半导体制造的光刻和蚀刻工步需要少量使用这两种化合物。经过10多年的努力,IBM终于找到了这两种有毒化合物的替代用品。   IBM公司主管微电
  • 关键字: IBM  光刻  蚀刻  

Intel欲将193nm沉浸式光刻技术延用至11nm制程节点

  •   在本月21日举办的LithoVision2010大会上,Intel公司公布了其未来几年的光刻技术发展计划,按这份惊人的计划显示,Intel计划将 193nm波长沉浸式光刻技术延用至11nm制程节点,这表明他们再次后延了其极紫外光刻(EUV)技术的启用日期。   Intel实验室中的EUV曝光设备   根据会上Intel展示的光刻技术发展路线图显示,目前Intel 45nm制程工艺中使用的仍是193nm干式光刻技术,而32nm制程工艺则使用的是193nm沉浸式光刻技术,沉浸式光刻工具方面,Int
  • 关键字: Intel  光刻  11nm  

三项半导体新技术投入使用的时间将后延至2015-2016年

  •   半导体技术市场权威分析公司IC Insights近日发布的报告显示,按照他们的估计,450mm技术以及极紫外光刻技术(EUV)投入实用的时间点将再度后延。   据IC Insights预计,基于450mm技术的芯片厂需要到2015-2016年左右才有望开始实用化建设--比预期的时间点后延了两年左右。另外,预计16nm级别制程技术中也不会应用EUV光刻技术,这项技术会被后延到2015年,在13nm级别的工艺制程中投入实用。   另外一项较新的半导体制造技术,可用于制造3D堆叠式芯片的硅通孔技术(TS
  • 关键字: EUV  光刻  450mm  

北美半导体设备订单出货保持平稳增长 订单出货比微跌至1.06

  •   SEMI日前公布了2009年11月份北美半导体设备制造商订单出货比报告。按三个月移动平均额统计,11月份北美半导体设备制造商订单额为7.905亿美元,订单出货比为1.06。订单出货比为1.06意味着该月每出货价值100美元的产品可获得价值106美元的订单。   报告显示,11月份7.905亿美元的订单额较10月份7.563亿美元最终额增长4.5%,较2008年11月份的7.838亿美元最终额增长1%。   与此同时,2009年11月份北美半导体设备制造商出货额为7.437亿美元,较10月份6.94
  • 关键字: 光刻  半导体设备  

2012年前内存芯片厂商的重点将不会放在产能拓展方面

  •   据iSuppli公司分析,由于全球内存芯片厂商在2005-2007年间已经耗费了大量资本进行设备投资和产能扩展,因此现有的产能已经可以满足2012年的市场需求,这便意味着在未来两年之内全球内存芯片厂商的主要精力将不会放在产能拓展方面。   ”2005-2007年间,内存芯片厂商共花费了500亿美元的资金来采购新的制造设备和建设新的芯片厂,这笔花费已经占到同期整个内存产业营收的55%左右。“iSuppli公司的高级内存分析师Mike Howard表示:”由于向这
  • 关键字: 内存芯片  光刻  制造设备  

中国32nm技术脚步渐近

  •   32nm离我们还有多远?技术难点该如何突破?材料与设备要扮演何种角色?10月28日于北京举办的先进半导体技术研讨会即围绕“32nm技术发展与挑战”这一主题进行了探讨。   32nm节点挑战无限   “45nm已进入量产,32nm甚至更小的22nm所面临的挑战已摆在我们面前。”中芯国际资深研发副总裁季明华博士在主题演讲时说,“总体来说,有四个方面值得我们注意。首先是CMOS逻辑器件如何与存储器件更还的集成在一起;其次是SOC技术的巨大挑战,
  • 关键字: Cymer  32nm  光刻  

光刻巨头ASML四季度来首次盈利

  •   随着芯片厂商设备订单的恢复,欧洲最大的半导体设备制造商ASML报出了2930万美元的净利润,为四个季度来首次盈利。   ASML在今天的声明中表示该公司第三季度净利润为1970万欧元(2930万美元),即每股5欧分,低于去年同期7330万欧元的利润水平。不过这超过了彭博社调查7位分析师所得出的1060万欧元的市场预期。   该公司首席执行官埃里克·莫里斯(EricMeurice)表示,ASML的设备可以让芯片客户生产出尺寸更小的芯片,随着客户在设备投资上恢复投入,该公司本季度销售额将
  • 关键字: ASML  光刻  半导体设备  
共56条 3/4 « 1 2 3 4 »

光刻介绍

光刻   利用照相复制与化学腐蚀相结合的技术,在工件表面制取精密、微细和复杂薄层图形的化学加工方法。光刻原理虽然在19世纪初就为人们所知,但长期以来由于缺乏优良的光致抗蚀剂而未得到应用。直到20世纪50年代,美国制成高分辨率和优异抗蚀性能的柯达光致抗蚀剂(KPR)之后,光刻技术才迅速发展起来,并开始用在半导体工业方面。光刻是制造高级半导体器件和大规模集成电路的关键工艺之一,并已用于刻划光栅、 [ 查看详细 ]

相关主题

热门主题

光刻设备    树莓派    linux   
关于我们 - 广告服务 - 企业会员服务 - 网站地图 - 联系我们 - 征稿 - 友情链接 - 手机EEPW
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司
备案 京ICP备12027778号-2 北京市公安局备案:1101082052    京公网安备11010802012473