首页  资讯  商机   下载  拆解   高校  招聘   杂志  会展  EETV  百科   问答  电路图  工程师手册   Datasheet  100例   活动中心  E周刊阅读   样片申请
EEPW首页 >> 主题列表 >> 三星(samsung)

三星(samsung) 文章 进入三星(samsung)技术社区

三星推出 Galaxy Tab A9 + 平板:高通骁龙 695,起售价 20999 卢比

  • IT之家 10 月 9 日消息,日前,三星接连在海外市场推出 Galaxy A9 / A9 + 两款入门级平板产品,起售价分别为 12999 印度卢比(IT之家备注:当前约 1141 元人民币)和 20999 印度卢比(当前约 1844 元人民币)。Galaxy A9 + 平板正面配备 11 英寸 LCD 显示屏,分辨率为 1920*1200 像素,配备 AKG 调校的四扬声器,前置 500 万像素镜头,后置 800 万像素镜头(支持自动对焦)。这款新品平板搭载骁龙 695 处理器,提供 4GB
  • 关键字: 三星  印度  平板电脑  

消息称三星正在与 Epic、Krafton 等公司合作为折叠屏手机开发游戏

  • 10 月 8 日消息,据韩国经济日报(한국경제 뉴스레터),三星电子正在与 Epic Games 和 Krafton 等全球游戏公司合作,为 Galaxy 折叠屏智能手机开发定制游戏。业内人士透露,三星研究院已开始在韩国、英国、中国、乌克兰等 4 个国家启动了“游戏特别工作组(TF)”。据悉,三星研究院是三星电子设备体验(DX)部门的尖端技术研发(R&D)组织,旨在探索未来的增长潜力点。因此,三星电子选择了游戏产业发达的国家作为 TF 运营地。消息人士提到,TF 正在与美国 Epic Games、
  • 关键字: 三星  折叠屏  

三星也想遥遥领先? 旗舰新机传将采用「新武器」

  • 华为推出搭载自家麒麟处理器的智慧手机Mate 60 Pro,引起市场震撼后,三星电子明年推出的旗舰Galaxy S24系列,预计也将搭载自家研发的Exynos 2400行动处理器。三星去年的Galaxy S22系列采用Exynos 2200处理器,却产生发热严重等问题后,今年S23系列在内的旗舰机型都采用高通的骁龙芯片。业界人士表示,预计三星将在明年上半年上市的S24系列搭载Exynos 2400,为三星时隔2年再次挑战使用自家公司的芯片。据韩《东亚日报》报导,三星5日在美国加州举行的「三星系统LSI科技
  • 关键字: 三星  旗舰机  Exynos 2400  Galaxy S24  

韩国三星努力成为人工智能芯片市场领导者

  • 三星电子总裁兼系统LSI负责人朴永仁在硅谷举行的三星系统LSI技术日活动上发表讲话。图片来源-三星电子 引领市场的革命性发展 韩国三星电子(Samsung Electronics)最近表示了一系列旨在人工智能芯片行业确立主导地位的发展。这家科技巨头展示了其在半导体生产方面的竞争力,由于人工智能(AI)和ChatGPT等新技术的激增,半导体生产是一个快速增长的领域。三星开发了一种用于增强人工智能性能的新型移动处理器,并正在与国内外专门从事半导体设计的初创公司合作。 突破人工智能
  • 关键字: 三星,人工智能,AI  

三星 990 PRO SSD 4TB 上架,首发 2299 元

  • IT之家 10 月 7 日消息,三星 990 PRO SSD 4TB 版现已上架开启预售,首发到手价 2299 元,10 月 11 日开卖。IT之家附 990 PRO 4TB SSD 介绍如下:三星 990 PRO 4TB 采用三星第 8 代 V-NAND 技术以及经改进的三星自研控制器,使其性能接近 PCIe 4.0 的理论上限,顺序读取速度高达每秒 7450 MB / S,写入速度高达 6900 MB/s。新增的 4TB 版本还提高了随机读写速度,分别高达 1,600K 和 1,550K I
  • 关键字: 三星  SSD  

三星发布 Exynos 2400 芯片:CPU 提速 70%、搭载 RDNA3 GPU

  • IT之家 10 月 6 日消息,三星在今天召开的 System LSI Tech Day 2023 活动中,展示了多项新的半导体技术和芯片,而其中主角莫过于 Exynos 2400 处理器。CPU 方面三星表示 Exynos 2400 的 CPU 性能要比 Exynos 2200 快 70%,AI 处理能力快 14.7 倍。GPU 方面在 GPU 方面,新芯片还配备基于 AMD 最新 GPU 架构 RDNA3 的 Xclipse 940 GPU,之前的泄密称这款新芯片的 GPU 中有 6 个 W
  • 关键字: 三星  SoC  猎户座  

三星和台积电均遭遇难题:在3nm工艺良品率上挣扎

  • 目前三星和台积电(TSMC)都已在3nm制程节点上实现了量产,前者于2022年6月宣布量产全球首个3nm工艺,后者则在同年12月宣布启动3nm工艺的大规模生产,苹果最新发布的iPhone 15 Pro系列机型上搭载的A17 Pro应用了该工艺。据ChosunBiz报道,虽然三星和台积电都已量产了3nm工艺,不过两者都遇到了良品率方面的问题,都正在努力提高良品率及产量。三星在3nm工艺上采用下一代GAA(Gate-All-Around)晶体管技术,而台积电沿用了原有的FinFET晶体管技术,无论如何取舍和选
  • 关键字: 三星  台积电  工艺  3nm  

消息称三星电子计划从下月起大幅提高 NAND 闪存价格

  •  10 月 6 日消息,据韩媒 Business Korea 报道,三星内部认为目前 NAND Flash 供应价格过低,公司计划今年四季度起,调涨 NAND Flash 产品的合约价格,涨幅在 10% 以上,预计最快本月新合约便将采用新价格。▲ 图源韩媒 Business Korea自今年年初以来,三星一直奉行减产战略,IT之家此前曾报道,三星的晶圆产量大幅下降了 40%,最初的减产举措主要集中在 DRAM 领域,之后下半年三星开始着手大幅削减 NAND Flash 业务产量,眼下正试图推动
  • 关键字: 三星  NAND  flash  涨价  

三星8英寸厂3成机台停机,传年底重启明年Q1恢复运转

  • 由于8英寸晶圆代工需求不振,截至第二季,三星电子晶圆代工事业Samsung Foundry产能利用率不到50%。业界人士透露,目前Samsung Foundry已将3成机台停机,但随着库存进一步降低,有望年底前重启机台,明年再度运转。先前韩媒TheElec就曾报导,目前IT产业需求偏低,韩国晶圆代工厂也决定将8英寸晶圆服务砍价10%。截至第二季,三星电子晶圆代工事业Samsung Foundry、韩国晶圆代工业者Key Foundry产能利用率都介于40~50%之间。8英寸晶圆服务主要生产电源管理IC、面
  • 关键字: 三星  8英寸厂  

三星和AMD合作,与vRAN一起推进网络转型

  • 三星和AMD合作,与vRAN一起推进网络转型新的合作将为运营商解锁更广泛的选择,以利用三星的vRAN解决方案和生态系统构建高容量、高能效的网络三星电子今天宣布与AMD进行新的合作,以推进5G虚拟化RAN(vRAN)进行网络转型。这种合作代表了三星持续致力于丰富vRAN和Open RAN生态系统,以帮助运营商以无与伦比的灵活性和优化性能构建移动网络并使其现代化。 两家公司在三星实验室完成了几轮测试,以验证使用FDD频段和TDD大规模MIMO宽带的高容量和电信级性能,同时大幅降低功耗。在这次联合合作
  • 关键字: 三星,AMD,vRAN  

三星推出其首个LPCAMM内存解决方案 开启内存模组新未来

  • 三星电子宣布已开发出其首款 7.5Gbps(千兆字节每秒)低功耗压缩附加内存模组(LPCAMM)形态规格,这有望改变个人计算机和笔记本电脑的 DRAM(动态随机存取存储器) 市场,甚至改变数据中心的DRAM市场。三星的突破性研发成果已在英特尔平台上完成了系统验证。三星LPCAMM内存模组结构示意图截至目前,个人计算机和笔记本电脑都在使用传统的 LPDDR DRAM 或基于 DDR 的 So-DIMM(小型双重内嵌式内存模组)。然而受结构限制,LPDDR需要被直接安装在设备的主板上,导致其在维修
  • 关键字: 三星  LPCAMM  内存  内存模组  

三星预计Q4起存储芯片供需关系或将发生变化

  • 据《韩国经济日报》援引未具名行业消息来源报道称,三星电子面向主要智能手机制造商的DRAM和NAND闪存芯片价格上调了10-20%。主要智能手机制造商包括小米、OPPO和谷歌。三星电子预计,从第四季度起存储芯片市场的需求可能大于供应。消息人士指出,这家芯片制造商计划以更高的价格向生产Galaxy系列智能手机的三星移动业务部门供应存储芯片,以反映移动芯片价格上涨的趋势。此前,为应对需求持续减弱,三星宣布9月起扩大减产幅度至50%,减产仍集中在128层以下制程为主。据TrendForce集邦咨询调查,其他供应商
  • 关键字: 三星  存储芯片  

传三星预计2026年量产新一代HBM4

  • 据韩媒报道,三星为了掌握快速成长的HBM市场,将大幅革新新一代产品制程技术,预计2026年量产新一代HBM产品,HBM4。从2013年第一代HBM到即将推出的第五代HBM3E,I/O接口数为每颗芯片1024个,拥有超过2000个以上I/O接口的HBM尚未问世。以HBM不同世代需求比重而言,据TrendForce集邦咨询表示,2023年主流需求自HBM2e转往HBM3,需求比重分别预估约是50%及39%。随着使用HBM3的加速芯片陆续放量,2024年市场需求将大幅转往HBM3,而2024年将直接超越HBM2
  • 关键字: 三星  HBM4  

先进封装为何成为半导体大厂的“必争之地”

  • 芯片升级的两个永恒主题 —— 性能、体积/面积,而先进制程和先进封装的进步,均能够使得芯片向着高性能和轻薄化前进。芯片系统性能的提升可以完全依赖于芯片本身制程提升
  • 关键字: 封装  半导体  台积电  三星  英特尔  芯片  

消息称三星和 SK 海力士改进 HBM 封装工艺,即将量产 12 层产品

  • IT之家 9 月 12 日消息,根据韩国 The Elec 报道,三星电子和 SK 海力士两家公司加速推进 12 层 HBM 内存量产。生成式 AI 的爆火带动英伟达加速卡的需求之外,也带动了对高容量存储器(HBM)的需求。HBM 堆叠的层数越多,处理数据的能力就越强,目前主流 HBM 堆叠 8 层,而下一代 12 层也即将开始量产。报道称 HBM 堆叠目前主要使用正使用热压粘合(TCB)和批量回流焊(MR)工艺,而最新消息称三星和 SK 海力士正在推进名为混合键合(Hybrid Bonding
  • 关键字: 三星  海力士  内存  
共5374条 8/359 |‹ « 6 7 8 9 10 11 12 13 14 15 » ›|

三星(samsung)介绍

您好,目前还没有人创建词条三星(samsung)!
欢迎您创建该词条,阐述对三星(samsung)的理解,并与今后在此搜索三星(samsung)的朋友们分享。    创建词条

热门主题

三星(SAMSUNG)    树莓派    linux   
关于我们 - 广告服务 - 企业会员服务 - 网站地图 - 联系我们 - 征稿 - 友情链接 - 手机EEPW
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司
备案 京ICP备12027778号-2 北京市公安局备案:1101082052    京公网安备11010802012473