新闻中心

EEPW首页 > EDA/PCB > 设计应用 > FPGA系统设计的仿真验证之: ModelSim的仿真流程

FPGA系统设计的仿真验证之: ModelSim的仿真流程

作者:时间:2015-05-06来源:网络收藏

  (3)编译文件。

本文引用地址:http://www.eepw.com.cn/article/273720.htm

  在文件上面单击右键,选择“compile”选项下的“compile all”,如图7.11所示。

  

 

  图7.10 添加文件对话框 图7.11 编译文件

  当文件窗口中的“Status”栏的问号图标变成一个勾的图标时,说明编译成功。

  (4)仿真文件。

  在Workspace的“Library”选项卡中,在work子目录里面双击test文件图标,就会自动完成仿真,如图7.12所示。

  (5)查看波形。

  编译成功以后,Workplace的“sim”选项卡将变成如图7.13所示的样子。

  右键单击test,选择“Add”选项下面的“Add to Wave”选项,为波形窗口添加信号,如图7.14所示。

  

 

  图7.12 选择需要仿真的文件 图7.13 编译成功后的test实例

  

 

  图7.14 为波形窗口添加信号

  此时即可在新弹出的波形窗口中看到已添加的信号,如图7.15所示。

  

 

  图7.15 波形窗口

  单击工具栏中的图标就能看见仿真结果了,如图7.16所示。

 

  

 

  图7.16 仿真结果

fpga相关文章:fpga是什么


分频器相关文章:分频器原理
尘埃粒子计数器相关文章:尘埃粒子计数器原理
晶振相关文章:晶振原理

上一页 1 2 下一页

关键词: FPGA ModelSim

评论


相关推荐

技术专区

关闭