新闻中心

EEPW首页 > 模拟技术 > 设计应用 > ModelSim功能及使用入门

ModelSim功能及使用入门

作者:时间:2012-03-26来源:网络收藏
模块的信号,便于设计调试;

  *支持加密IP;

  *集成的 C调试器,支持 用C 语言完成测试平台和模块;支持64位的OS;

ModelSim功能及使用入门

  设计流程:

  

ModelSim功能及使用入门

   Coverage验证:

  

ModelSim功能及使用入门
ModelSim功能及使用入门

  QuestaSim是第一个基于标准的单核验证引擎,集成了一个HDL模拟器,一个约束求解器,一个判断引擎,覆盖,以及一个通用的用户界面。

  主要特点:

  *内建单内核仿真器支持SystemVerilog、Verilog、VHDL、PSL以及SystemC。

  *内建约束解释器支持Constrained-Random激励生成,以实现Testbench-Automation;

  *支持基于PSL,SystemVerilog语言断言的验证,支持业界最著名的0-In Checkware 断言库验证

  *集成化支持功能覆盖率检查与分析

  *高性能的RTL和Gate-Level仿真速度

  *支持用SystemVerilog和SystemC实现高层次Testbench设计与调试



关键词: ModelSim 功能

评论


相关推荐

技术专区

关闭