关 闭

新闻中心

EEPW首页 > 工控自动化 > 设计应用 > 基于固态存储器的ECC算法分析及实现

基于固态存储器的ECC算法分析及实现

作者:时间:2009-11-27来源:网络收藏

按前面的校验码生成规则,2 048个数据应该生成4个校验码,生成的校验码,如图4所示,其中ecccode1,ecccode2,ecccode3,ecccode4是生成的4个校验码。

本文引用地址:http://www.eepw.com.cn/article/202613.htm

现在假设读出的数据中有一位数据发生了翻转,出现了一位数据错误的情况,即假设数据的第2 bit的最低位发生了翻转,0×0l变成了O×00,此时读出的数据序列,如图5所示。

3.2 利用VHDL语言实现EGG算法
文中以0x00~OxFF循环8次产生2 048个数据,利用VHDL语言编程,在QuartusII7.2下进行了仿真,得到了如图7所示的校验码。其中ecccodel =00000000,ecccode2=00000000,ecccode3=11000000,ecccode4=000000ll是生成的4个校验码,从图中可以看到利用VHDL语言得到的校验码和用C语言得到的校验码是相同的。


4 结束语
文中介绍了算法的一种实现方法,说明了ECC的校验流程,最后用两种方法实现ECC校验算法。ECC校验算法简单,软硬件均能实现,它能够检测并纠正单比特错误和检测双比特错误,所以可以为数据存储和通信系统提供一种强有力的差错检测手段。


上一页 1 2 3 下一页

评论


相关推荐

技术专区

关闭