新闻中心

EEPW首页 > 嵌入式系统 > 设计应用 > Verilog的语言要素有哪些?

Verilog的语言要素有哪些?

作者:时间:2018-08-03来源:网络收藏

本文引用地址:http://www.eepw.com.cn/article/201808/385258.htm

`timescale 10ns/1ns

那么5.22对应52ns, 6.17对应62ns。

在编译过程中,`timescale指令影响这一编译器指令后面所有模块中的时延值,直至遇到另一个`timescale指令或`resetall指令。当一个设计中的多个模块带有自身的`timescale编译指令时将发生什么?在这种情况下,模拟器总是定位在所有模块的最小时延精度上,并且所有时延都相应地换算为最小时延精度。例如,

`timescale 1ns/ 100ps

module AndFunc (Z, A, B);

output Z;

input A, B;

and # (5.22, 6.17 ) Al (Z, A, B);

endmodule

`timescale 10ns/ 1ns

module TB;

reg PutA, PutB;

wire GetO;

initial

begin

PutA = 0;

PutB = 0;

#5.21 PutB = 1;

#10.4 PutA = 1;

#15 PutB = 0;

end

AndFunc AF1(GetO, PutA, PutB);

endmodule

在这个例子中,每个模块都有自身的`timescale编译器指令。`timescale编译器指令第一次应用于时延。因此,在第一个模块中,5.22对应5.2 ns, 6.17对应6.2 ns; 在第二个模块中5.21对应52 ns, 10.4对应104 ns, 15对应150 ns。如果仿真模块TB,设计中的所有模块最小时间精度为100 ps。因此,所有延迟(特别是模块TB中的延迟)将换算成精度为100 ps。延迟52 ns现在对应520*100 ps,104对应1040*100 ps,150对应1500*100 ps。更重要的是,仿真使用100 ps为时间精度。如果仿真模块AndFunc,由于模块TB不是模块AddFunc的子模块,模块TB中的`timescale程序指令将不再有效。

3.5.7 `unconnected_drive和`nounconnected_drive

在模块实例化中,出现在这两个编译器指令间的任何未连接的输入端口或者为正偏电路状态或者为反偏电路状态。

`unconnected_drive pull1

. . .

/*在这两个程序指令间的所有未连接的输入端口为正偏电路状态(连接到高电平)*/

`nounconnected_drive

`unconnected_drive pull0

. . .

/*在这两个程序指令间的所有未连接的输入端口为反偏电路状态(连接到低电平)*/

`nounconnected_drive

3.5.8 `celldefine 和 `endcelldefine

这两个程序指令用于将模块标记为单元模块。它们表示包含模块定义,如下例所示。

`celldefine

module FD1S3AX (D, CK, Z) ;

. . .

endmodule

`endcelldefine

某些PLI例程使用单元模块。

3.6 值集合

HDL有下列四种基本的值:

1) 0:逻辑0或“假”

2) 1:逻辑1或“真”

3) x:未知

4) z:高阻

注意这四种值的解释都内置于语言中。如一个为z的值总是意味着高阻抗,一个为0的值通常是指逻辑0。

在门的输入或一个表达式中的为“z”的值通常解释成“x”。此外,x值和z值都是不分大小写的,也就是说,值0x1z与值0X1Z相同。 HDL中的常量是由以上这四类基本值组成的。

HDL中有三类常量:

1) 整型

2) 实数型

3) 字符串型

下划线符号(_)可以随意用在整数或实数中,它们就数量本身没有意义。它们能用来提高易读性;唯一的限制是下划线符号不能用作为首字符。

3.6.1 整型数

整型数可以按如下两种方式书写:

1) 简单的十进制数格式

2) 基数格式

1. 简单的十进制格式

这种形式的整数定义为带有一个可选的 “+”(一元)或 “-”(一元)操作符的数字序列。下面是这种简易十进制形式整数的例子。

32 十进制数32

-15 十进制数-15

这种形式的整数值代表一个有符号的数。负数可使用两种补码形式表示。因此32在5位的二进制形式中为10000,在6位二进制形式中为110001;-15在5位二进制形式中为10001,在6位二进制形式中为110001。

2. 基数表示法

这种形式的整数格式为:

[size ] 'base value

size 定义以位计的常量的位长;base为o或O(表示八进制),b或B(表示二进制),d或D(表示十进制),h或H(表示十六进制)之一;value是基于base的值的数字序列。值x和z以及十六进制中的a到f不区分大小写。

下面是一些具体实例:

5'O37 5位八进制数

4'D2 4位十进制数

4'B1x_01 4位二进制数

7'Hx 7位x(扩展的x), 即xxxxxxx

4'hZ 4位z(扩展的z) , 即zzzz

4'd-4 非法:数值不能为负

8'h 2 A 在位长和字符之间,以及基数和数值之间允许出现空格

3'b001 非法: ` 和基数b之间不允许出现空格

(2+3)'b10 非法:位长不能够为表达式

注意,x(或z)在十六进制值中代表4位x(或z),在八进制中代表3位x(或z),在二进制中代表1位x(或z)。

基数格式计数形式的数通常为无符号数。这种形式的整型数的长度定义是可选的。如果没有定义一个整数型的长度,数的长度为相应值中定义的位数。下面是两个例子:

'o721 9位八进制数

'hAF 8位十六进制数

如果定义的长度比为常量指定的长度长,通常在左边填0补位。但是如果数最左边一位为x或z,就相应地用x或z在左边补位。例如:

10'b10 左边添0占位, 0000000010

10'bx0x1 左边添x占位,xxxxxxx0x1

如果长度定义得更小,那么最左边的位相应地被截断。例如:

3'b1001_0011与3'b011 相等

5'H0FFF 与5'H1F 相等

?字符在数中可以代替值z在值z被解释为不分大小写的情况下提高可读性(参见第8章)。

3.6.2 实数

实数可以用下列两种形式定义:

1) 十进制计数法;例如

2.0

5.678

11572.12

0.1

2. //非法:小数点两侧必须有1位数字

2) 科学计数法; 这种形式的实数举例如下:

23_5.1e2 其值为23510.0; 忽略下划线

3.6E2 360.0 (e与E相同)

5E-4 0.0005



关键词: Verilog FPGA

评论


相关推荐

技术专区

关闭