新闻中心

EEPW首页 > 嵌入式系统 > 新品快递 > 美高森美发布Libero SoC v11.8软件为FPGA设计人员提供混合语言仿真和同级最佳调试功能

美高森美发布Libero SoC v11.8软件为FPGA设计人员提供混合语言仿真和同级最佳调试功能

作者:时间:2017-04-26来源:电子产品世界收藏

  致力于在功耗、安全、可靠性和性能方面提供差异化半导体技术方案的领先供应商公司(Microsemi Corporation)发布Libero系统级芯片(SoC)软件的 v11.8最新版本。这是一款综合性可编程逻辑器件()设计工具,具有混合语言仿真等重要性能改进,还有同级最佳调试功能,以及一个全新网表视图。除此以外,还提供免费的 License,让用户评估基于Flash的和SoC 器件。

本文引用地址:http://www.eepw.com.cn/article/201704/358456.htm

  美高森美Libero SoC设计工具包的内容包括Mentor Graphics ModelSim Simulator,可以逐行验证硬件描述语言(HDL)代码。可以在任何级别进行仿真:行为级(预综合)、结构级(后综合),以及反标的动态仿真。易于使用的图形用户界面可让用户快速识别和调试问题。Libero SoC v11.8现在还包括ModelSim Microsemi Pro,可让用户在混合语言环境下进行仿真,而且,相比以前的版本可以提升20%的仿真时间。

  高森美公司软件工程副总裁Jim Davis说道:“新版本Libero SoC v11.8具有显着的改进,其中集成的ModelSim ME Pro可以针对VHSIC硬件描述语言(VHDL)、Verilog和SystemVerilog提供混合语言的仿真支持,使得客户能够瞄准各式各样的IP设计,而且毋须担心混合多种语言会出现问题。新版本还包括最新的SmartDebug增强功能,比如美高森美 FPGA独有的FPGA硬件断点(FHB)功能。FHB功能可让用户在设计中设置断点,并按照时钟周期步进,这样可以大大提高可视性,并且缩短调试时间。”

  虽然断点一直在嵌入式软件中使用,但现在可用于支持FPGA逻辑调试功能。这可以提高FPGA设计的生产率、可用性和效率,从而快速推向市场,特别是在产品验证阶段,因为这是产品开发周期中耗时最长的阶段。这些SmartDebug增强功能与现有调试功能互补,不必使用集成逻辑分析仪(ILA),也能够以一种新的方式来调试FPGA装置的状态、存储器和串行/解串(SerDes)收发器。

  美高森美Libero SoC v11.8特别适合面向航天、国防、安全、通信、数据中心、工业和汽车市场中各种应用的FPGA设计。它还包括一系列的额外特性,比如新的网表视图可以透视不同的内部结构,新的约束管理功能具有模块流和输入/输出(I/O)咨询器,而且其SmartTime用户界面在运行时间方面有20%的提高,也支持Windows 10操作系统。

  为了使这款解决方案获得广泛应用,Libero SoC v11.8还附带新的60天免费评估授权,可用来评估美高森美基于Flash的FPGA和SoC参考设计,以及教程和应用指南等。为满足客户对美高森美易学易用的设计工具包不断增长的需求,新的评估授权提供了一个让客户快速上手Libero SoC设计的简单方法

  Aberdeen的市场分析指出,到2020年约有500亿个机器是联网的。这些机器不但要保证安全,而且要确保在器件、设计和系统级的安全。凭借美高森美在安全方面的专长,Libero SoC v11.8还包括该公司的安全生产编程方案(SPPS),这可以生成和注入加密密匙和配置比特流,以防止过度构建、克隆、逆向工程、病毒代码插入及其它安全威胁。

  供货

  现在客户可从美高森美公司网站下载Libero SoC v11.8软件工具:http://www.microsemi.com/products/fpga-soc/design-resources/design-software/libero-soc#downloads。如要了解更多的信息,请联络sales.support@microsemi.com



关键词: 美高森美 FPGA

评论


相关推荐

技术专区

关闭