关 闭

新闻中心

EEPW首页 > 工控自动化 > 设计应用 > 基于VHDL的交通灯控制器设计

基于VHDL的交通灯控制器设计

作者:时间:2012-05-24来源:网络收藏

  其中,Sa,Sb分别是a,b路口传感器的信号,aR,aY,aG,aLR,aLY,aLG分别代表控制主干道a的直行红灯、黄灯、绿灯,左转弯红灯、黄灯、绿灯的信号;bR,bY,bG,bLR,bLY,bLG分别代表控制主干道b的直行红灯、黄灯、绿灯,左转弯红灯、黄灯、绿灯的信号;aPR,aPG,bPR,bPG是人行道信号,enl是使能信号。enl=0时a,b两路口红灯同时亮,便于处理特殊情况。用QuartusⅡ对程序编译、仿真,得到的仿真波形如图2所示,经程序下载、实验验证,系统功能符合要求。

  

  ,外围电路少、功耗低、可靠性高,便于系统功能的修改,效率高。


上一页 1 2 下一页

评论


相关推荐

技术专区

关闭