新闻中心

EEPW首页 > 手机与无线通信 > 设计应用 > FPGA在步进电机控制中的应用

FPGA在步进电机控制中的应用

作者:时间:2009-12-16来源:网络收藏

方向模块的核心是脉冲分配电路,它有两个输入信号:一个是PWM信号构成的变频时钟,每输入一个PWM脉冲,脉冲分配器的四相输出时序将发生一次变化,从而使转动一步;另一个是方向信号,它的不同状态将使脉冲分配器产生不同方向的时序脉冲,从而的转动方向。根据四相八拍的控制方式,定子通电顺序为(A-AB-B-BC-C-CD-D-DA-A)。本系统采用了Mealy型状态机描述方法,状态取值依次是:SO=“0001”,S1=“0011”,S2=“0010”,S3=“0110”,S4=“10100”,S5=”110O”,S6=“1000”,S7=”1001”。按照控制时序的要求,用‘1’表示该绕组加电,‘0’表示该绕组断电。方向控制模块的状态流程图如图5所示。

本文引用地址:http://www.eepw.com.cn/article/157724.htm


4 结论
步进是一种易于精确控制的电机,由于其良好的性能而得到广泛,其控制方法也多种多样。本文介绍了在扭槽叠扣冲压中,使用控制的方法,该系统成本不高。通过编程方法,不但可以在一定范围内自由设定的转速,往返转动的角度以及转动次数等,而且还可以方便灵活地控制的运行状态,以满足不同用户的要求,简化了线路,降低了成本。


上一页 1 2 3 下一页

评论


相关推荐

技术专区

关闭