新闻中心

EEPW首页 > 嵌入式系统 > 设计应用 > 哪一个计数器才会出现9呢?

哪一个计数器才会出现9呢?

作者:时间:2011-05-12来源:网络收藏

摘要
一个很简单的题目,考验你的观念清不清楚…

本文引用地址:http://www.eepw.com.cn/article/150757.htm

介绍
废话不多说,直接看代码吧,哪个计数器才会数到9呢?

counter9_v1.v/Verilog

1/*
2 (c) OOMusou 2008年
3
4文件名 : counter9_v1.v
5编译器 : Quartus II 7.2 SP3
6描述: 计数到9 ?
7发行 : 07/18/2008 1.0
8 *
9模块counter9_v1 (
10输入的clk,
11输入的rst_n,
12输出的reg [3 :0] q
13);
14
15 reg [3 :0] p;
16
17 always@ (posedge clk或negedge rst_n)开始
18,如果(! rst_n)
19 p = 0;
20
21 p = p + 1;
22末端
23
24 always@(p)开始
25,如果(p == 9)
26 q = 0;
27
28 q = p;
29末端
30 endmodule

尘埃粒子计数器相关文章:尘埃粒子计数器原理

上一页 1 2 下一页

关键词: 出现 一个计数器

评论


相关推荐

技术专区

关闭