新闻中心

EEPW首页 > 嵌入式系统 > 设计应用 > 用VHDL语言开发的出租车计费系统设计

用VHDL语言开发的出租车计费系统设计

作者:时间:2011-07-24来源:网络收藏

  4 系统仿真验证

  用MAX+plusⅡ软件对各个子模块及顶层原理图进行了时序仿真,仿真波形如图3所示。

  控制计价模块仿真图如图3所示。由图3(a)可得,当reset=1,start=1,且pause=0时,表示处于行驶状态,此时路程开始递增,当不超过3 km时,车费为5A即90,起步价9.0元。由图3(b)可得,当超过3 km后,车费每行驶1 km加20(即2元)。由图3(c)可得,当reset= 1,start=1,且pause=1时,处于等待状态,此时路程不再递增,而时间递增,当时间达到3分钟时,车费加5(即0.5元)。

  顶层电路的仿真图如图4所示。从图中可以看出,随着输入的变化,从g[6…0]输出了共阴的数码管显示编码,dp也在对应的数码管处,输出高电平点亮小数点。本的软件仿真结果正确,达到预期目标。


上一页 1 2 下一页

评论


相关推荐

技术专区

关闭