新闻中心

EEPW首页 > 嵌入式系统 > 设计应用 > FPGA实现OFDM水声通信系统定时同步

FPGA实现OFDM水声通信系统定时同步

作者:时间:2011-09-20来源:网络收藏
2 LFM信号的产生和检测

本文引用地址:http://www.eepw.com.cn/article/150198.htm

  2.1 LFM信号的产生

  LFM信号的产生方法通常有I,Q两路数字式产生法和中频直接产生法两种。前者时较复杂,适用于频率高、带宽大的场合。信号一般工作在较低频段,适合用中频直接产生法产生LFM信号。根据本实验室的可用带宽要求,利用直接数字合成(Directed Digital Synthesis,DDS)技术直接产生扫描频率为13~16 kHz的LFM信号。

  DDS技术又可进一步分为直接数字波形合成(DDWS)和直接数字频率合成(DDFS)两种,二者在结构上略有不同。DDWS也称为数字波形存储直读式波形产生,它把经过理想采样的数字波形预先存储,使用时通过查表进行D/A变换而得到所需的模拟信号。该方法产生的LFM信号基本上不受调频斜率的限制,可以用来产生任意波形(包括复杂波形及大数据量组合波形),还可对预先存储的数据波形进行预失真处理,提高的性能。本设计采用DDWS方式产生LFM信号,产生LFM的基本原理框图如图4所示。

  

DDWS产生LFM的基本原理框图

  在50 MHz主时钟的控制下,内部逻辑以120 kHz的频率控制LFM信号的输出,数字信号经过D/A变换后输出阶梯形的时域信号,再经过带通滤波器滤除带外噪声后得到双极性的LFM信号。

  2.2 LFM信号的检测

  接收端对LFM信号的检测,实质上是获得LFM信号的压缩窄脉冲的过程,以此达到信号提取的目的。采用的方法一般有匹配滤波法和相关提取法,匹配滤波的需要在频域利用FFT和IFFT变换进行处理,它需要耗费较大的资源,复杂度较高。考虑到硬件资源和计算复杂度,本设计采用在时域滑动相关的方法实现LFM信号的检测。该方法利用了LFM信号具有尖锐的自相关特性,根据相关运算的公式:

  

  当接收到的LFM信号与本地存储的LFM信号相同时(上式中j=0)。其相关值最大,出现尖锐的相关峰。图5是采用实现LFM信号相关算法的原理框图。

  

  在发送端,一个周期LFM信号的点数为256,在接收端经过A/D采样后得到8 b的数字量,存人长度为256 B的接收缓冲区,该缓冲区设计为先进先出(First-in First-out,FIFO),作为滑动窗与本地相关序列进行相关运算。本地相关序列(存放在ROM中)与发送端发出的LFM序列相同,ROM的容量也是256×8 b。

  每完成一次A/D采样,得到的8 b数据存入FIFO,然后执行一次相关运算,得到256个16 b的数据,然后将这256个数据相加,即得到此时刻对应的相关值(用24 b存储)。对得到的连续256个相关值构成的序列处理后求最大值,即可判决出接收到LFM信号的位置。



评论


相关推荐

技术专区

关闭