新闻中心

EEPW首页 > 嵌入式系统 > 设计应用 > 基于VHDL和FPGA的多种分频实现方法介绍

基于VHDL和FPGA的多种分频实现方法介绍

作者:时间:2012-08-01来源:网络收藏

分频器是数字系统设计中的基本电路,根据不同设计的需要,我们会遇到偶数分频、奇数分频、半整数分频等,有时要求等占空比,有时要求非等占空比。在同一个设计中有时要求形式的分频。通常由计数器或计数器的级联构成各种形式的偶数分频及非等占空比的奇数分频,较为简单。但对半整数分频及等占空比的奇数分频较为困难。本文利用硬件描述语言,通过QuartusⅡ3.0开发平台,使用Altera公司的,设计了一种能够满足上述各种要求的较为通用的分频器。

本文引用地址:http://www.eepw.com.cn/article/148678.htm

  一、电路设计

  采用半整数分频器,可以采用以下:设计一个模N的计数器,再设计一个脉冲扣除电路,每来两个脉冲扣除一个脉冲,即可实现分频系数为N-0.5的分频器。脉冲扣除电路由异或门和一个2分频器构成。本设计在半整数分频器原理的基础上,对异或门加一个使能控制信号,通过对异或门和计数器计数状态值的控制,实现同一个电路完成形式分频,如图1所示。

  


  二、语言的实现

  现通过设计一个可以实现8.5分频,等占空比的17分频,2、4、8、16、32分频,及占空比为1∶8和4∶5的9分频等形式分频的分频器,该通用分频器的实现。

  由图1所示的电路原理图可知,分频器由带使能端的异或门、模N计数器和一个2分频器组成,本设计用D触发器来完成2分频的功能,实现是:将触发器的Q反输出端反馈回输入端D,将计数器的一个计数输出端作为D触发器的时钟输入端。各功能模块的语言实现如下。

  1.模N计数器的实现

  一般设计中用到计数器时,我们可以调用lpm库中的计数器模块,也可以采用VHDL语言自己设计一个模N计数器。本设计采用VHDL语言设计一个最大模值为16的计数器。输入端口为:使能信号en,复位信号clr和时钟信号clk;输出端口为:qa、qb、qc、qd。其VHDL语言描述略。

  2.带使能控制的异或门的实现

  输入端为:xor_en:异或使能,a和b:异或输入;输出端为:c:异或输出。当xor_en为高电平时,c输出a和b的异或值。当xor_en为低电平时,c输出信号b。其VHDL语言略。

  3.2分频(触发器)的实现

  输入端为:时钟信号clk,输入信号d;输出端为:q:输出信号a,q1:输出信号a反。其VHDL语言略。

  4.分频器的实现

  本设计采用层次化的设计,首先设计实现分频器电路中各组成电路元件,然后通过元件例化的方法,调用各元件,实现整个分频器。其VHDL语言略。

  三、仿真结果及硬件电路的测试

  本设计的目的是通用性和简易性,只要对上述程序稍加改动即可实现多种形式的分频。

  1.实现8.5分频和等占空比的17分频

  只要将上述程序中,调用计数器模块时端口qa、qb、qc匹配为open状态,同时置xor_en为高电平即可。从编译报告看出总共占用8个逻辑单元(logic elements),其仿真波形如图2~4所示。

  

  图二

  

  图三


上一页 1 2 下一页

评论


相关推荐

技术专区

关闭